CN107342216A - Plasma-activated conforma dielectric film deposition - Google Patents

Plasma-activated conforma dielectric film deposition Download PDF

Info

Publication number
CN107342216A
CN107342216A CN201710347032.2A CN201710347032A CN107342216A CN 107342216 A CN107342216 A CN 107342216A CN 201710347032 A CN201710347032 A CN 201710347032A CN 107342216 A CN107342216 A CN 107342216A
Authority
CN
China
Prior art keywords
film
reactant
cfd
plasma
dopant
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201710347032.2A
Other languages
Chinese (zh)
Other versions
CN107342216B (en
Inventor
尚卡尔·斯娃米纳森
乔恩·亨利
丹尼斯·M·豪斯曼
普拉莫德·苏布拉莫尼姆
曼迪亚姆·西里拉姆
维什瓦纳坦·兰加拉詹
基里斯·K·卡特提格
巴特·J·范施拉芬迪克
安德鲁·J·麦克罗
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Novellus Systems Inc
Original Assignee
Novellus Systems Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US13/242,084 external-priority patent/US8637411B2/en
Application filed by Novellus Systems Inc filed Critical Novellus Systems Inc
Publication of CN107342216A publication Critical patent/CN107342216A/en
Application granted granted Critical
Publication of CN107342216B publication Critical patent/CN107342216B/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02129Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being boron or phosphorus doped silicon oxides, e.g. BPSG, BSG or PSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76825Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

The invention provides plasma-activated conforma dielectric film deposition.The invention provides the method for deposition film on the surface of a substrate, and it includes surface mediated responses, and the one or more by absorption and the reaction of reactant circulates the film and grown in the reaction.In an aspect, the method is characterized in that, between the circulation adsorbed and reacted, intermittent delivery dopant species to film.

Description

Plasma-activated conforma dielectric film deposition
The application is the applying date for August in 2012 29 days, Application No. 201280046487.6, applies for that artificial promise hair system is public Department, the divisional application of the patent application of entitled " plasma-activated conforma dielectric film deposition ".
The cross reference of related application
According to 35U.S.C. § 120, U.S. Patent application No.13/084 that the application submitted as on April 11st, 2011, 399 part continuation application claims priority, and U.S. Patent application No.13/084,399 advocate to submit on April 15th, 2010 U.S. Provisional Patent Application No. 61/324710, the U.S. Provisional Patent Application No. 61/372 submitted for 10th in August in 2010, 367th, the U.S. Provisional Patent Application No. 61/379,081 submitted for 1st in September in 2010 and submitted on November 29th, 2010 U.S. Provisional Patent Application No. 61/417,807 interests.Each entire contents in above-mentioned patent application pass through reference It is incorporated herein, and for all purposes.The application is also the U.S. Patent application No.13/ submitted on April 11st, 2011 The part continuation application of 084,305 application, entire contents are incorporated by reference into the application, and for all purposes.
Technical field
The present invention relates to semiconductor fabrication process, is deposited more particularly, to plasma-activated conforma dielectric film.
Background technology
Various film layers for semiconductor devices can utilize ald (ALD) process deposits.It is but existing ALD techniques may not be suitable for depositing highly conformal dielectric film.
The content of the invention
Various aspects disclosed herein is related to the method and apparatus for deposition film on the surface of a substrate.In some implementations In scheme, methods described includes passing through surface mediated responses deposition film, and the absorption and reaction of reactant are passed through in the reaction One or more circulations grow film.In one aspect, the method is characterized in that, between the circulation adsorbed and reacted between Have a rest and convey dopant to film.Sometimes, the dopant species can cross over substrate surface mixing to the substrate by driving Miscellaneous region.
In one aspect, disclosed method deposition film on the surface of a substrate in the reaction chamber.Methods described is characterised by Operate below:(a) first reactant is introduced into institute under conditions of allowing the first reactant to be adsorbed onto the substrate surface State reative cell;(b) while first reactant is attracted on the substrate surface, by described in the introducing of the second reactant Reative cell;(c) by the substrate surface exposed to plasma to drive described first and described the on the substrate surface Reaction between two reactants is to form a part for the film;(d) (a)-(c) is repeated at least once;(e) allowing comprising mixing Under conditions of the exposed surface of film described in miscellaneous dose of material, the material comprising dopant is introduced into the reative cell, And do not introduced in (a)-(d);And dopant is introduced the film by (f) from the material comprising dopant.Mixed described Miscellaneous dose introduces the film and can relate to the material comprising dopant being exposed to plasma.
In various embodiments, methods described comprises additionally in from the film and drives what the dopant was resident to the film In the feature of the substrate surface.Drive the dopant can be by being made annealing treatment the film to realize from the film. In some applications, the film is resided on the three-dimensional feature of the substrate surface, and drives the dopant from the film Make the dopant is conformal to be diffused into the feature.It has been desirable in certain applications, the feature has the width no more than about 40 nanometers Degree.
In some embodiments, film is dielectric film.In some cases, total film thickness between about 10-100 angstroms it Between.In various embodiments, the concentration of dopant in film is between by weight about 0.01% to 10%.
In some embodiments, this aspect of method repeats (a)-(c) after being additionally included in (e) or (f).Some In embodiment, this aspect of this method comprises additionally in repetition (a)-(e).In some embodiments, deposited in (a)-(c) Film amount be between about 0.5 to 1 angstrom.
In some embodiments, methods described be additionally included in by the substrate surface before the plasma from Second reactant described in the chamber cleaning.The removing can be by making the gas containing oxidant flow into the reative cell To realize.In some embodiments, first and second reactant is coexisted in the reative cell with gas phase, and in institute The first and second reactants described in reative cell are stated until being exposed in (c) in plasma just can substantially react to each other.
In some embodiments, the first reactant is oxidant, for example, nitrous oxide.In some embodiments, Second reactant is dielectric former, such as (i) alkylamino silane (SiHx(NR2)4-x) in, wherein x=1-3, and R includes alkane Base, or (ii) halogenated silanes (SiHxY4-x), wherein X=1-3, and Y include Cl, Br and I.In a detailed embodiment, Second reactant is BTBAS.In some embodiments, the material comprising dopant be selected from phosphine, arsenic hydride, boron alkyl, Alkyl gallane, alkylphosphines, phosphorus Halides, arsonium halide, gallium halide, halogenation boron, boron alkyl, and diborane.
In another aspect, a kind of disclosed method deposition film on the surface of a substrate in the reaction chamber.The spy of methods described Sign is following operation:(a) oxidant is made to flow into institute under conditions of allowing the first reactant to be adsorbed onto on the substrate surface State reative cell;(b) while the oxidant continues to flow into the reative cell, dielectric former is introduced into the reative cell; (c) substrate surface is exposed to plasma to drive the dielectric former and the oxidant on the substrate surface Reaction, to form a part for the dielectric film;(d) exposed surface of film described in the material comprising dopant is being allowed Under conditions of, the material comprising dopant is introduced into the reative cell, and do not introduced in (a)-(c);Cause to come (e) The dielectric film is incorporated into from the dopant of the material comprising dopant.In one embodiment, the dielectric Precursor is BTBAS or the other precursors formerly determined in the face of front.
In addition, this method can require to repeat (a)-(c) it is one or many.In a specific example, when most When just performing (a), the oxidant includes the oxygen of the first ratio than nitrogen, and when then performing (a), the oxidant includes the The oxygen of two ratios compares nitrogen.Second ratio is less than first ratio.For example, when initially performing (a), the oxidant can Including elemental oxygen, and when repeating (a), the oxidant includes nitrous oxide.In some embodiments, performed when initial (c) when, for the substrate in the first temperature, and when repeating (c), the substrate is higher than institute in second temperature, the second temperature State the first temperature.
In some cases, this method also includes dopant being driven into the substrate from dielectric film.In some realities Apply in mode, methods described is additionally included in (a) before by the substrate surface and the material for including dopant.
On the other hand, deposition is electric on the surface of a substrate in the reaction chamber according to following operation for the method disclosed in the present Deielectric-coating:(a) precursor is introduced into the reative cell under conditions of allowing dielectric former to be adsorbed onto the substrate surface; (b) absorption hereafter is kept while on the substrate surface in the precursor, by the dielectric former from the reative cell Remove;(c) substrate surface is exposed to plasma to drive the anti-of the dielectric former on the substrate surface Should be to form a part for the dielectric film;And (d) under conditions of allowing dopant precursor to contact the dielectric film, The dopant precursor is introduced into the reative cell, and not introduced in (a)-(c).In some embodiments, methods described Oxidant is set to flow into the reative cell before and during being additionally included in (a)-(c).In some cases, methods described also includes Make the dopant precursor reaction that dopant is incorporated into film.
An additional aspect of the present invention is related to the device for depositing doping on the surface of a substrate.The feature of described device It is following characteristics:Reative cell, it includes being used to accommodate the substrate in the deposition process of the dielectric film of the doping Equipment;One or more process gas inlets, it is coupled to the reative cell;And controller.The controller is designed or matched somebody with somebody Being set to makes described device perform following operate:(a) by institute under conditions of allowing the first reactant to be adsorbed onto the substrate surface State the first reactant and introduce the reative cell;(b), will while first reactant is attracted on the substrate surface Second reactant introduces the reative cell;(c) substrate surface is exposed to plasma to drive on the substrate surface Described first and second reactant between reaction to form a part for the film;(d) (a)-(c) at least one is repeated It is secondary;(e) under conditions of the exposed surface of film described in the material comprising dopant is allowed, by the material for including dopant Material introduces the reative cell, is not introduced in (a)-(d);Dopant from the material comprising dopant is introduced into institute (f) State film.The controller can be designed or be configured to management according to other methods such as the method for other side discussion Performance.
In some embodiments, the controller is further designed or is configured to cause described device in (a)-(d) Before and during oxidant is flowed into the reative cell.In some embodiments, the controller is further designed or matched somebody with somebody It is set to after (e) or (f) and repeats (a)-(c).In some embodiments, the controller is further designed or is configured to Cause from the film to drive the dopant into the feature of the substrate surface where the film.By the dopant from The film driving can be by being made annealing treatment to realize to the film.In some embodiments, the controller is further It is designed or is configured to cause the interval of (e) between the repeating one or more times of (a)-(d) to perform, wherein described in deposition The interval is change during film.
In various embodiments, the controller is further designed or is configured to cause the substrate surface is sudden and violent It is exposed to second reactant before plasma from the chamber cleaning.In one example, it is described to remove by making Gas containing oxidant flows into the reative cell to realize under the guide of the controller.
Specifically, some aspects of the invention can be described below:
1. the method for deposition film, methods described include on a kind of substrate surface of non-flat forms in the reaction chamber:
The first reactant is introduced into the reative cell under the conditions of non-plasma so that the first reactant absorption is described On the substrate surface of non-flat forms;
The material containing dopant is introduced into the reative cell under the conditions of non-plasma;And
It is then that the substrate surface of the non-flat forms is conformal with the substrate surface of the non-flat forms to be formed exposed to plasma Doping.
2. according to the method described in clause 1, wherein first reactant is siliceous reactant.
3. according to the method described in clause 1, wherein the dopant is selected from boron, phosphorus, arsenic and gallium.
4. according to the method described in clause 1, it is additionally included in by the substrate surface of the non-flat forms before plasma, Second reactant is introduced into the reative cell.
5. according to the method described in clause 1, wherein second reactant is oxidant.
6. according to the method described in clause 1, wherein second reactant is to contain nitrogen reactant.
7. according to the method described in clause 5, wherein the doping is the film of doped silicon oxide.
8. according to the method described in clause 6, wherein the doping is the film of doped silicon nitride.
9. according to the method described in clause 1, wherein the doping is the film of doped silicon carbide.
10. according to the method described in clause 1, it is additionally included in the substrate table that first reactant is adsorbed onto to the non-flat forms While on face, the second reactant is introduced into the reative cell.
11. according to the method described in clause 10, it also includes the substrate surface of the non-flat forms being exposed to plasma to drive The reaction between first reactant and the second reactant on the substrate surface is moved to form a part for the film.
The feature of these and other will be described in detail below with reference to related accompanying drawing.
Brief description of the drawings
Fig. 1 diagrammatically illustrates the timing diagram of conformal film deposition (CFD) technique of example according to embodiment of the present disclosure.
Fig. 2 diagrammatically illustrates the timing diagram of the CFD techniques of another example according to embodiment of the present disclosure.
Fig. 3 diagrammatically illustrates the timing diagram of the CFD techniques of another example according to embodiment of the present disclosure.
Fig. 4 diagrammatically illustrates the CFD for including plasma process cycles of an example according to embodiment of the present disclosure The timing diagram of technique.
Fig. 5 is shown according to embodiment of the present disclosure between the wet etch rate ratio of deposited film and depositing temperature Example correlation.
Fig. 6 shows the wet etch rate of deposited film than showing between stress according to embodiment of the present disclosure The correlation of example.
Fig. 7 is shown according to embodiment of the present disclosure between the membrane contaminant concentration of deposited film and depositing temperature The correlation of example.
Fig. 8 schematically shows the cross section of the example of the substrate of the non-flat forms comprising multiple spaces.
Fig. 9 schematically shows the CFD of the example including the transition to pecvd process according to embodiment of the present disclosure The timing diagram of technique.
Figure 10 schematically shows the cross section of the example of the gap filling comprising lockhole hole.
Figure 11 schematically shown according to embodiment of the present disclosure the CFD techniques of the example including in-situ etch when Sequence figure.
Figure 12 A schematically show the cross section of the example of the gap filling profile of reentry (re-entrant).
Figure 12 B schematically show Figure 12 A reentry in etching process in the original location according to embodiment of the present disclosure The cross section of the example of gap filling profile.
The gap that Figure 12 C schematically show Figure 12 B in etching process in the original location according to embodiment of the present disclosure is filled out Fill the cross section of the example of profile.
Figure 13 schematically shows the treating stations of example according to embodiment of the present disclosure.
Figure 14 schematically shows exemplary including multiple treating stations and controller according to embodiment of the present disclosure Handling implement.
Figure 15 is schematically shown in the CFD technical process including in-situ etch according to embodiment of the present disclosure The cross-sectional view of the example of silicon hole.
Figure 16 shows the transistor with three-dimensional grid structure, wherein, source electrode and drain electrode are formed and are being difficult to by traditional In the thin vertical stratification of ion implantation technique doping.
Figure 17 presents the benchmark CFD operation orders along x-axis propulsion from left to right over time.
It is defeated with dopant in the interface for underliing substrate, subsequent CFD circulations that Figure 18 and 19 depicts wherein dopant deposit Send it is interspersed, and optionally with undoped with protectiveness " capping (capping) " layer can be embodiment party that CFD oxide-films terminate Formula.
Figure 20 shows the Exemplary deposition block diagram for synthesizing CFD BSG/PSG films.
Figure 21 shows that the step coverage of the CFD films in fine and close and isolation structure is calculated as
Figure 22 presents SIMS data, can be in about 0.5-3.5 weight % boron it illustrates the mean boron concentrations in CFD films Adjusted in scope.
Embodiment
The manufacture of semiconductor devices, which is usually directed in integrated manufacturing technology on the substrate of non-flat forms, deposits one or more Individual film.In some aspects of integrated technique, deposition and the conformal film of substrate pattern are probably useful.For example, silicon nitride What the top that film can be deposited on the gate stack (elevated gate stack) increased was lightly doped as wall with protecting The damage of source electrode and drain region from subsequent ion implantation technology.
In spacer deposition process, chemical vapor deposition (CVD) technique can be used for forming nitrogen on the substrate of non-flat forms SiClx film, is then anisotropically etch to form spacer structure to it.However, as the distance between grid stacking reduces, CVD gas The matter transportation limitation of phase reaction may result in " surface packing " (" bread-loafing ") sedimentary effect.These effects are usual There is relatively thin deposit in the bottom corner that the top surface for causing to stack in grid thicker deposit occurs and stacked in grid.This Outside, because some tube cores (die) can have the region of different components density, therefore in the matter transportation effect of whole wafer surface It may result in the change of the film thickness in tube core and in chip.The change of these thickness may cause the mistake in some regions Etching and the undercut in other regions.This can reduce the performance of device and/or tube core yield.
The certain methods for solving these problems include ald (ALD).Compared to using hot activation gas phase reaction with The CVD techniques of deposition film, ALD techniques mediate deposition reaction with layer by layer deposition film using surface.In the ALD techniques of an example In, including the substrate surface in multiple surface-active sites is exposed to the first film precursor (P1) of Gas distribution.Some of P1 point Son can form condensed phase at the top of substrate surface, and it includes P1 chemisorbed class thing and physisorbed molecules.Then will be anti- Device is answered to evacuate, to remove the P1 of gas phase and physical absorption, to only have chemisorbed class thing to leave.Then the second film precursor is introduced (P2) into reactor so that some P2 Molecular Adsorption to substrate surface.The reactor can be evacuated again, at this moment removed not Restrained P2.Then, there is provided activate the surface reaction between P1 and P2 binding molecule in the heat energy of substrate, form film layer. Finally, the reactor is evacuated, to remove byproduct of reaction and possible unreacted P1 and P2, terminates ALD cycle.It can include Other ALD cycles are to increase the thickness of film.
In one example, according to the open-assembly time of batching step precursor and the adhesion coefficient of precursor, each ALD cycle can With film layer of the deposit thickness between 0.5 and 3 angstrom.Therefore, when depositing more than the film of several nanometer thickness, ALD techniques are probably consumption When.In addition, some precursors can have long open-assembly time, with depositing conformal film, so as to reduce what chip was handled up Time.
Conformal film can also be deposited on flat substrate.For example, can be with by the flat stack layer comprising different type film The anti-reflecting layer formed in lithographic patterning application.Such anti-reflecting layer thickness can be between about 100 to 1000 angstroms so that The attraction of ALD techniques is not as good as CVD techniques.However, in the chip that may be provided compared to many CVD techniques thickness change appearance Difference, such anti-reflecting layer can also have the relatively low tolerance of thickness change in chip.For example, 600 angstroms of thick anti-reflecting layers There can be the tolerance of the thickness range less than 3 angstroms.
Correspondingly, the invention provides for providing the conformal of plasma activation on non-flat forms and flat substrate The technique of film deposition (CFD) and multiple examples of equipment.These examples are included in some but adopted in all CFD techniques Various features.Have in these features:(1) eliminate or reduce from reative cell needed for " cleaning " one or two kinds of reactants Time, (2) provide the continuous stream of at least one reactant, while allow different reactants to be intermittently flowed into reative cell, (3) in the presence of one kind in reactant is with gas phase, rather than when all reactants are removed out reative cell, light etc. from Daughter, the CFD films that (4) are deposited with corona treatment are to change the performance of film, after the Part I of (5) by CFD deposition films, Generally in identical reative cell, by a part for PECVD deposition films, (6) deposit etching part between CFD stage Film, and (7) adulterated by only conveying circulation to the circular insertion impurity of the deposition of film to CFD films.Certainly, institute sieve above It is row and not exhaustive.The remainder of this specification is studied, various other CFD features will be apparent.
CFD " circulation " design is relevant with various examples discussed herein.In general, circulation is to perform one-time surface The operation of most group needed for deposition reaction.The result of one circulation is to produce at least part film layer on the surface of a substrate.Generally In the case of, CFD circulations will only include those and convey every kind of reactant and be adsorbed onto substrate surface, and then make what these were adsorbed Reactant forms step necessary to the film layer of part.Certainly, circulation may include some additional steps, such as clean reactant or by-product One kind in thing, and/or the part film that processing is deposited.Generally, circulation includes a kind of only unique operation of situation Sequence.As an example, a circulation can include following operation:(i) conveying/adsorption reaction thing A, (ii) conveying/adsorption reaction B is swept out reaction chamber by thing B, (III), and (iv) applies plasma to drive A and B surface to react, so as on surface Upper formation part film layer.
Above-mentioned 7 kinds of features will be further discussed now.In the following description, consider CFD reactions, reacted in the CFD In, one or more reactants are adsorbed onto substrate surface, then by the interaction with plasma, react, so as to Film is formed on a surface.
Feature 1 (continuous stream of reactant)-when reactant A will not normally flow in traditional ALD, allows reactant A Reative cell is continuously flowed to during one or more parts of CFD circulations.In traditional ALD, reactant A flowing is only Reactant absorption is allowed in substrate surface.In other stages of ALD cycle, reactant A does not flow.However, according to the present invention Described some CFD examples, reactant A not only flow during the relevant stage is adsorbed with it, and are performing absorption A's The stage flow of CFD circulations outside operation.For example, in many examples, while reactant A is flow in reactor, The positive reactant of dosing second of device (referred to herein as reactant B).Therefore, during at least a portion of CFD circulations, reactant A and B are coexisted with gas phase.In addition, reactant A can flow, while apply plasma to drive the reaction in substrate surface.Note Meaning, carrier gas-such as argon gas can be combined the reactant continuously flowed is transported to reative cell.
One advantage of the example of continuous stream be the stream formed avoid by open stream with close stream it is related make stream Delay and the change of stream caused by transient state starts and stablized.
As specific example, main reactant (also sometimes referred to as " solid can be used by conformal film depositing operation Component " precursor, or in this example, referred to simply as " reactant B ") deposited oxide film.Double (tert-butyl amino) silane (BTBAS) it is a kind of such main reactant.In this example, oxide deposition is related to the conveying of oxidant, such as oxygen Or nitrous oxide, it in the course of conveying of main reactant, is flowed initially and continuously in different exposure phases It is dynamic.In the different plasma exposure stages, oxidant also continuously flows.See, for example, the sequence shown in Fig. 1.Compare and Speech, in traditional ALD techniques, when solid constituent precursor is transported in reactor, the stream of oxidant will stop.For example, work as When conveying reactant B, the stream of reactant A will stop.
In some specific examples, the reactant continuously flowed is the reactant of " auxiliary ".As used herein, " auxiliary " Reactant be it is any not main reactant reactant.As mentioned previously, it is solid at room temperature that main reactant, which includes, The element of body, the element are used for the film formed by CFD.The example of such element is metal (for example, aluminium and titanium), semiconductor (for example, silicon and germanium) and nonmetallic or metalloid (for example, boron).The example of the reactant of auxiliary includes oxygen, ozone, hydrogen, one Carbonoxide, nitrous oxide, ammonia, alkylamine and other analogs.
The reactant continuously flowed can provide with constant flow rate or with variation but controllable flow rate.In the situation of the latter Under, as an example, during the exposure phase of conveying main reactant, the flow rate of assisted reaction thing may decline.For example, in oxygen In compound deposition process, oxidant (for example, oxygen or nitrous oxide) can continuously flow in whole sedimentary sequence, but defeated When sending main reactant (for example, BTBAS), its flow rate may decline.This can increase it and locally press during BTBAS dosing By force, so as to reducing the open-assembly time being covered with required for substrate surface.Shortly before lighting plasma, the flow of oxidant can be with Increase, with possibility existing for BTBAS during reducing the plasma exposure stage.In some embodiments, continuously flow Reactant is during two or more deposition cycles with the flow rate of variation.For example, reactant can be One CFD is circulated with the first flow rate, and is circulated in the 2nd CFD with the second flow rate.
When using the flowing of multiple reactants and one of them to be continuous, during a part for CFD circulations, It is therein at least both will be coexisted with gas phase.Equally, when being not carried out removing step after conveying the first reactant, two kinds of reactions Thing will exist jointly.Therefore, using the reactant that will not be reacted considerably from one another in the gas phase of no application activation energy It is probably important.Under normal circumstances, reactant should be until its appearance is on the surface of a substrate and exposed to plasma or another Just reacted during one suitable non-thermal activation condition.Reactant as selection is related to the heat for considering the reaction at least desired by (1) Mechanics suitability degree (Gibbs free energy<0), and (2) reaction activation energy, it should be sufficiently large, so as to required heavy The reaction of accumulated temperature degree can be ignored.
Feature 2 (reduce or eliminate and clean step)-in some embodiments, the technique is saved or reduced to be walked with cleaning Suddenly the associated time, cleaning step would generally perform in traditional ALD.In traditional ALD, conveyed in every kind of reactant And after being adsorbed onto substrate surface, carry out individually cleaning step.In traditional ALD cleans step, little or no absorption or Reaction occurs.In CFD circulations, after at least one reactant is conveyed, the cleaning step is reduced or eliminated.Fig. 1 is shown Except the example of the processing sequence of cleaning step.It is not carried out cleaning the cleaning step of reactant A from reative cell.In some situations Under, after the reactant of CFD circulation conveyings first, cleaning step is not carried out, but conveying the second reactant or last defeated After the reactant conveying sent, cleaning step can be selectively carried out.
CFD " cleaning " step or the design in stage are appeared in the discussion of the various embodiments of the present invention.It is general next Say, the stage that cleans removes or removed one kind in vapor-phase reactant from reative cell, and generally only complete in the conveying of the reactant Into rear progress.In other words, the reactant is no longer transported to reative cell in the cleaning stage.However, during the cleaning stage, the reaction Thing keeps absorption on the surface of a substrate.Under normal circumstances, clean for adsorbing the reactant to required level in substrate surface The vapor-phase reactant of any residual in room is removed afterwards.The cleaning stage can also remove the material of weakly stable from the substrate surface (for example, some precursor ligands or byproduct of reaction).In ALD, the cleaning stage is anti-for preventing the gas phase of two kinds of reactants A kind of reactant and heat, the interaction of plasma or other driving forces for surface reaction should either be prevented For interaction, it is considered to be necessary.In general, and unless otherwise defined, the cleaning stage can pass through Following steps are completed:(I) evacuates reative cell, and/or (ii) make do not include the gas of the material swept out is flowed through The reative cell.In situation (ii), such gas can be, for example, inert gas or assisted reaction thing, as continuously flowed Assisted reaction thing.
Eliminating the cleaning stage can complete in the case of with or without the continuous stream of other reactants.It is shown in Fig. 1 In embodiment, reactant A, to after the completion of the absorption on substrate surface, is not cleaned out, but continue to flow into (in figure at it Shown by label 130).
In the various embodiments using two or more reactants, it cleans what step was eliminated or reduced Reactant is assisted reaction thing.As an example, assisted reaction thing is oxidant or nitrogen source, and main reactant be siliceous, boron or The precursor of germanium.Certainly, the cleaning of main reactant can also be reduced or eliminated.In some embodiments, in assisted reaction After thing conveying, cleaning step is not performed, but after main reactant conveying, is alternatively performed and cleaned step.
As mentioned, the cleaning stage need not be completely eliminated, and simply clean stage reduction compared to traditional ALD techniques Duration.For example, in CFD cyclic processes, the cleaning stage of such as reactant of assisted reaction thing etc can carry out about 0.2 Second is shorter, for example, between about 0.001 to 0.1 second.
Feature 3 (lighting plasma in the presence of one kind in reactant is with gas phase)-for this feature, light etc. from Daughter, then all reactants are removed from reative cell.This is with traditional ALD techniques on the contrary, in traditional ALD techniques In, after only vapor-phase reactant is no longer present in reative cell, just activates plasma or carry out other reaction driving operations.Note Meaning, when reactant A continuously flows during the plasma part of CFD circulations as depicted in fig. 1, such feature is inevitable It can occur.However, embodiment of the present disclosure is not limited to this mode.What one or more reactants can circulate in CFD Plasma stage flows, but need not continuously be flowed in CFD cyclic processes.In addition, it is in plasma activation The reactant of gas phase can be main reactant or assisted reaction thing (when using two kinds of reactants in being circulated in CFD).
For example, sequence can be:(i) reactant A is introduced, (ii) removes A, and (iii) introduces reactant B and work as B Plasma, and (iv) is encouraged to remove during flowing.In such embodiment, the technique uses the plasma from gas phase Body activating reaction thing.This is an in general example, and wherein CFD is not limited to the sequence of sequence step.
If providing activation plasma in the time phase that solid constituent precursor (main reactant) is supplied to reactor, Then step coverage (step coverage) may become less conformal, but sedimentation rate would generally increase.But if Plasma activation is only carried out during a kind of assisted reaction thing is conveyed, it is not necessarily the case that so.Plasma Gas phase auxiliary element can be activated so that it is more easy to react, so as to increase its reactivity in conformal film deposition reaction.At certain In a little embodiments, this feature uses when depositing silicon-containing film (such as oxide, nitride or carbide).
Feature 4 (corona treatment of deposition CFD films)-in these embodiments, plasma deposits in conformal film During can play a part of two or more.One of its effect is that activation or drive membrane are formed in each CFD circulations Reaction.Its another effect is, after the CFD films by one or more CFD circulations partially or completely deposit, process film. The purpose of corona treatment is to change one or more attributes of film.Under normal circumstances, but might not, carry out plasma It is different that the condition of processing stage is used to activated membrane form the condition reacted (that is, drive membrane, which is formed, reacts) and used with those 's.As an example, corona treatment can also be carried out (for example, being deposited in hydrogen or oxygen in the presence of reduction or oxidation environment When), and this CFD circulation activation during be unnecessary.
Can during each circulation of CFD techniques, every one circulate during or on the basis of less frequency, hold Row plasma processing operation.The processing is periodically carried out, is combined with fixed CFD periods, or it can Even perform with changeably (for example, at different intervals of CFD circulations) or randomly.In a typical example, The film deposition of several CFD circulations is carried out, to reach appropriate film thickness, then using plasma is handled.Hereafter, carry out again There is no the film deposition of several CFD circulations of corona treatment, then carry out corona treatment again.This x CFD circulations Supersequence and back to back corona treatment (membrane modifying), can repeat, until film is formed completely by CFD.
In some embodiments, the corona treatment can be carried out before CFD circulations start, to change deposition One or more attributes on the surface of CFD films.In various embodiments, surface is by silicon (doping undopes) or contained What silicon matter was formed.Higher quality interface between the CFD films that surface after change preferably can produce and then deposit.The boundary Face can be provided such as good adhesive force, reliable electric property by reducing failure.
Pretreatment of the substrate before CFD, however it is not limited to any specific corona treatment.In some embodiments In, pretreatment is related to, in the presence of helium, hydrogen, argon, nitrogen, hydrogen/nitrogen form gas, and/or ammonia, exposed to hydrogen plasma, nitrogen Plasma, nitrogen/hydrogen plasma, ammonia plasma treatment, argon plasma, helium plasma, helium annealing, hydrogen annealing, ammonia annealing, And UV-curable.Corona treatment can be realized with various plasma generators, include but is not limited to, remote with microwave, ICP- Journey, ICP- are directly (direct) and well known to a person skilled in the art other means to realize.
In general, the processing can occur before and after, during CFD is circulated.When occurring during CFD is circulated, Processing frequency can be selected according to appropriate sedimentary condition.Under normal circumstances, the frequency for handling generation is each circulated no more than one It is secondary.
As an example, the technique for considering to form silicon nitride from the precursor that there are some carbon.The example of this kind of precursor Including BTBAS.As the consequence that carbon is there are in precursor, the nitride film deposited includes some carbon impurities, and this may drop The electrical property of low nitrogen nitride.In order to overcome this problem, after using several CFD of carbonaceous precursor circulations, the film of part deposition Hydrogen is exposed in the presence of plasma, to reduce and finally remove carbon impurity.
The condition of plasma for changing film surface can be selected, to realize desired by film character and/or constituent Change.In each condition of plasma, for required modification, what can be selected and/or repair is:Oxidizing condition, reduction Condition, etching condition, the power for producing plasma, the frequency for producing plasma, for producing plasma The distance between use, plasma density, plasma and the substrate of the frequency of two or more, etc..CFD films can be with The example for the performance modified by corona treatment includes:It is internal membrane stress, elching resistant, density, hardness, optical Matter (refractive index, reflectivity, optical density, etc.), dielectric constant, carbon content, electrical property (Vfb diffusion etc.), and the like.
In some embodiments, using the processing in addition to corona treatment, to change the attribute of deposition film.So Processing include electromagnetic radiation, heat treatment (for example, annealing or high temperature pulse) and similar process.These any processing can Individually to carry out, or handled with the another kind including corona treatment and combine progress.Any such processing can be used for Substitute any of above corona treatment.In a specific embodiment, the processing is related to is exposed to ultraviolet the film Radiation.As described below, in a specific embodiment, this method is related to CFD films oxide in the original location (that is, during film is formed) radiates using UV- or post-depositional oxide applications UV- is radiated.Such processing can be with For reducing or eliminating fault of construction, and provide improved electrical property.
In some specific embodiments, UV processing can be used in combination with corona treatment.Both operations can To perform or sequentially perform simultaneously.In order performs option, alternatively, UV operations occur first.The option performed at the same time In, the two processing can configure different source (for example, RF power sources are used for plasma, lamp is used for UV), or configure single Source, such as helium plasma, it produces ultraviolet radiation accessory substance.
Feature 5 (by CFD and then transition to PECVD and deposited)-and in such embodiment, it is completed Part is produced by CFD in film, and part is produced by the CVD such as PECVD techniques.Under normal circumstances, the CFD parts of depositing operation Carry out first, secondly PECVD parts are carried out, but this is not inevitable.Compared with only with CVD, mixing CFD/CVD techniques can be with Improve step coverage (step coverage), compared with only with CFD, mixing CFD/CVD techniques also extraly improve deposition Speed.In some cases, when CFD reactants flow, using plasma or other excitations, to produce parasitic CVD Operation, so as to realize higher sedimentation rate, different stage film, etc..
In some embodiments, two or more CFD stages can be used, and/or two or more can be used The CVD stages.For example, the initial part of film can be deposited by CFD, with the center section of caudacoria by CVD deposition, and film is most Part is deposited by CFD afterwards.In such embodiment, before the part behind by CFD deposition films, such as pass through The CVD portion of corona treatment or etching modification film, it may be possible to needs.
Transition stage can be used between CFD stages and CVD stages.The condition used in such transition stage, From used by CFD stages or CVD stages condition it is different.Under normal circumstances, although might not, the condition allows simultaneously CFD surfaces are reacted and CVD type gas phase reactions.Transition stage is usually directed to exposure in the plasma, and it for example can be arteries and veins Punching.In addition, in transition stage, it can be related to that (that is, speed is significantly lower than corresponding CFD stage institutes in the technique with low flow rate The speed of use) the one or more reactants of conveying.
Feature 6 (being deposited by CFD, etch, then further deposited by CFD)-and in such embodiment, carry out Obtained film, is then etched to remove by the CFD depositions of one or more circulation (being typically multiple circulations), for example, Some unnecessary films at or near recess entrance (tip), then further carry out CFD deposition cycle.Structure in deposition film The other embodiment of feature, it can etch in a similar manner.Selection for the etchant of the technique will depend on being etched material Material.In some cases, fluorine containing etchant agent can be used (for example, NF3) or hydrogen be etched operation.
In some embodiments, etchant is produced with remote plasma.In general, compared to direct plasma Body, remote plasma etch have more preferable isotropism.Remote plasma generally provides of a relatively high ratio to substrate Free radical.The reactivity of these free radicals can change with the change of the upright position in recess.At the top of feature, Free radical is more concentrated, thus can be etched with higher speed, and towards recess further downwards and in bottom, part free radical is Lose, therefore, they are etched with relatively low speed.Certainly, this is a kind of preferably reactivity distribution, for solving to occur recessed The problem of portion's opening deposits too much.The use of the added advantage of remote plasma is that plasma is relatively gentle in etching, Therefore substrate layer can not possibly be damaged.When the substrate layer to underlie is to oxidation or other sensitive damages, this is particularly advantageous.
Feature 7 (composition that film is repaired with extra reactant)-set forth herein many embodiments be related to using a kind of Or the CFD techniques of two kinds of reactants.In addition, many examples use identical reactant in each CFD circulations.However, this It is not inevitable.First, 3 kinds or more of reactant can be used in many CFD techniques.Example includes (i) and uses diborane, six The tungsten CFD of tungsten fluoride and hydrogen as reactant;(ii) uses the Si oxide of diborane, BTBAS and oxygen as reactant CFD.Diborane can be removed from the film in growth, or, if appropriate, it can be merged in film.
In addition, some examples only can use extra reactant in some CFD circulations.In such an example, Basic CFD process cycles are only with the reactant (for example, silica or carborundum) for creating basement membrane composition.All or This basic skills is carried out in almost all of CFD circulations.However, some CFD circulations are carried out as different circulations, and they Use the condition circulated different from normal sedimentation.For example, they can use one or more of additional reactants.These are different Circulation can also use with reactant identical reactant used in basic CFD techniques, although this is not inevitable.
Such CFD techniques are particularly advantageous for preparing the oxide adulterated or other materials adulterated as CFD films 's.In some embodiments, dopant precursor is only included as the reaction of " extra " in sub-fraction CFD circulations Thing.The frequency of addition dopant depends on desired concentration of dopant.For example, can in every 10 base substance deposition cycles To there is a circulation to include dopant precursor.
Different from many other depositing operations, especially those heat activated techniques of needs, CFD techniques can be relatively Carried out at low temperature.In general, CFD temperature is between about 20 to 400 DEG C.Such temperature can be selected, to allow Under the background of temperature sensitive process deposition (such as photoresist core (core) deposition).In a specific implementation In mode, the temperature between about 20 to 100 DEG C is used for double patterning application (using, for example, the core of photoresist). In another embodiment, the temperature between about 200 to 350 DEG C is used for memory manufacture processing (memory fabrication processing)。
As described above, CFD is very suitable in advanced technology nodes deposition film.Thus, for example, CFD techniques can collect Cheng Yu is in 32 nanometer nodes, 22 nanometer nodes, 16 nanometer nodes, 11 nanometer nodes and more than in these technique.These nodes In semiconductor International Technology Roadmap (ITRS), professional has reached common recognition to microelectric technique requirement for many years for description. In general, half pitch of their reference storage units.In a specific example, CFD techniques are applied to " 2X " equipment (equipment with the feature in the range of 20-29nm) and finer equipment.
Although provided herein is most of the example of CFD films be related to microelectronic device, those films can be used for Other field.Using non-silicon semiconductor (such as GaAs and other Group III-V semiconductors, and II-VI group material (such as HgCdTe microelectronics or photoelectron)), CFD techniques disclosed herein can be had benefited from.Conformal dielectric film is in field of solar energy The application of (such as photoelectric device), electrochromism field and other field is possible.
Fig. 1 schematically shows the timing diagram 100 of the illustrative embodiments of plasma activation CFD techniques.Description Two complete CFD circulations.As illustrated, each include being exposed to reactant A stage 120A or 120B, and then cruelly Reactant B stage 140A or 140B is exposed to, cleans reactant B stage 160A or 160B, and last plasma activation stage 180A or 180B.The energy of plasma activated surface provided during plasma activation stage 180A and 180B adsorbs anti- Answer the reaction between thing A and B.In described embodiment, a kind of reactant (reactant A) by transmission after without clear Sweep the stage.In fact, this reactant continuously flows during film deposition processes.So as to, when reactant A is in gas phase, Light plasma.Features described above 1-3 embodies in the example in fig 1.
In the illustrated embodiment, reactant gas A and B can coexist without reacting to each other in the gas phase.Therefore, exist One or more processing steps described in ALD techniques can shorten or eliminate in the CFD techniques of this example.For example, can To eliminate the cleaning step after A exposure phases 120A and 120B.
CFD techniques can be used for depositing any amount of different types of film.Although set forth herein most of examples relate to And dielectric material, but disclosed CFD techniques can also be used for forming conductive material film and semi-conducting material film.Nitride and oxygen Compound is the dielectric material of characteristic, but can also form carbide, nitrogen oxides, the oxide of carbon doping, boride etc.. The material ranges that oxide includes are very wide, including undoped with silicate glass (USG), doping silicate glass.Doping The example of glass includes boron-doped silicate glass (BSG), silicate glass (PSG), the glassy silicate of boron phosphorus doping of phosphorus doping Glass (BPSG).
In some embodiments, silicon nitride film can contain nitrogen reactant by siliceous reactant and one or more of And/or the reaction between the mixture containing nitrogen reactant is formed.The embodiment of siliceous reactant includes, but not limited to double (tertiary fourths Base amino) silane (SiH2(NHC(CH3)3)2Or BTBAS), dichlorosilane (SiH2Cl2), and chlorosilane (SiH3Cl).It is nitrogenous The example of reactant includes, but not limited to ammonia, nitrogen and tert-butylamine ((CH3)3CNH2Or tert-butylamine).Mixed containing nitrogen reactant The example of compound includes, but not limited to the mixture of nitrogen and hydrogen.
One or more reactants can be selected according to various films and/or hardware condition.For example, in some embodiments, Silicon nitride film can be reacted and be formed by dichlorosilane and plasma activation nitrogen.Dichlorosilane chemisorbed to silicon nitride Surface can create the surface of silicon-hydrogen end-blocking, discharge hydrogen chloride (HCl).Retouch the Example schematic of the Chemisorption State in reaction 1.
Reaction 1
Cyclic intermediate shown in reaction 1 then can be converted to silicon amine by the reaction with plasma activation nitrogen and seal The surface at end.
However, some molecules of dichlorosilane can pass through other mechanism chemisorbeds.For example, surface topography can hinder instead Answer the formation for the cyclic intermediate described in 1.It is shown in reaction 2 Example schematic of another chemisorbed mechanism.
Reaction 2
In the plasma activation of subsequent nitrogen, the remaining chlorine atom of the intermediate product shown in reaction 2 can be by Discharge, and can be activated by plasma.This can cause the etching of silicon nitride surface, it is possible to causing silicon nitride film Become coarse or fuzzy.In addition, the chlorine atom of residual physically and/or can be adsorbed chemically again, it is possible to pollution deposit Film.This pollution can change the physics and/or electrical property of silicon nitride film.In addition, the chlorine atom of activation can also result in treating stations The etch damage of hardware components, it is possible to reduce the service life for the treatment of stations part.
Therefore, in some embodiments, chlorosilane may replace dichlorosilane.This can reduce fouling membrane, film damage and/ Or the damage for the treatment of stations.Reaction 3 schematically shows the example of chlorosilane chemisorbed.
Reaction 3
Although using chlorosilane as siliceous reactant in the example shown in reaction 3, it will be appreciated that, it can make With any suitable mono-substituted halo-silane.
As explained above, described intermediate structure can react with nitrogen source, to form the silicon amine of silicon nitride end-blocking Surface.For example, ammonia can be activated by plasma, various ammonia free radical materials are formed.The free radical material and intermediate Reaction, form the surface of silicon amine end-blocking.
But ammonia can consumingly to the surface of reactant transfer line, treating stations and discharge tube, this can for physical absorption Cause to remove the extension with evacuated time.In addition, ammonia can have the high response between the siliceous reactant of some gas phases.Example Such as dichlorosilane (SiH2Cl2) and the gas phase mixture of ammonia can produce unstable material, such as diamino silanes (SiH2 (NH)2).These materials can decompose in the gas phase, and coring is into little particle.If ammonia during halogenated silanes chemisorbed with producing Hcl reaction, little particle can also be formed.These particles can gather in treating stations, and they can pollute lining in treating stations Basal surface, it is possible to the defects of causing integrated equipment, and they can pollute treating stations hardware in treating stations, it is possible to cause Downtime and cleaning (tool down time and cleaning).Little particle may also be accumulated in delivery pipe, may Block pumps and air blower, and may to need special environmental emission pipe washer and/or cold-trap (traps).
Therefore, in some embodiments, substituted amine can be used as and be used containing nitrogen reactant.For example, by plasma The various free radicals that the alkyl-substituted amine (such as tert-butylamine) of activation is formed, can be supplied to treating stations.Compared to ammonia, quilt Substituted amine (such as tert-butylamine) can have relatively low sticking coefficient on operation hardware, and this may result in relatively low Physical absorption rate and relatively low technique checkout time.
In addition, such may form halide salt containing nitrogen reactant, halide salt is more more volatile than ammonium chloride.For example, uncle Butyl ammonium chloride may be more more volatile than ammonium chloride.This can reduce downtime, equipment fault and environmental emission reduction expense.
Furthermore such precursor that can form other ammonia by various byproduct reactions containing nitrogen reactant.For example, the tert-butyl group Amine can generate BTBAS with dichlorosilane reaction.Therefore, accessory substance can provide the alternative route to form silicon nitride, it is possible to increase The yield of blooming.In another example, substituted amine can be provided to the heat activated route of low temperature of silicon nitride film.For example, Tert-butylamine is in the temperature thermal decomposition higher than 300 DEG C, to form isobutene and ammonia.
Although description provided above example describes:Silicon nitride film is formed using tert-butylamine, it is to be understood that , in scope disclosed by the invention, any suitably substituted amine can be used.In some embodiments, can be with The characteristics of activity based on thermodynamic behaviour and/or reactant, the suitably substituted amine of selection.Such as, it may be considered that by anti- Answer the relative volatility of the halide salt of thing formation, it may be considered that the existence in the various paths thermally decomposed at about temperature and choosing Selecting property.
In addition, although example provided above describes the deposition of silicon nitride film, but it is understood that, it is discussed above Principle apply in general to the depositions of other films.For example, suitable halogenated silanes can be used in combination with closing in some embodiments Suitable oxygen-containing reactant (such as oxygen plasma), with cvd silicon oxide.
A nonrestrictive list of reactant, Product Films and film and operational attribute scope is provided in table 1.
Fig. 1 also show the embodiment of the time schedule of the various CFD technological parameters of exemplary CFD operation stages.Fig. 1 Two exemplary deposition cycle 110A and 110B are depicted, it will be appreciated that, CFD techniques may include any appropriate number of Deposition cycle is to deposit required film thickness.Exemplary CFD technological parameters include, but not limited to inert gas and reactant Flow rate, plasma power and frequency, underlayer temperature and treating stations pressure.Table 2 provides the example using BTBAS and oxygen The nonrestrictive parameter area of the silica deposition cycle of property.
CFD circulations generally comprise the exposure phase for each reactant.Herein during " exposure phase ", reactant is defeated Process chamber is sent to, to cause reactant absorption on the surface of a substrate.Under normal circumstances, in the beginning of exposure phase, in substrate Surface be not adsorbed with it is any can evaluation quantity reactant.In Fig. 1, in reactant A exposure phase 120A and B, reactant A with Controlled flow rate is provided to treating stations, to be covered with the exposed surface of (saturate) substrate.Reactant A can be any suitable Deposition reactant, for example, main reactant or assisted reaction thing.In one example, wherein CFD produces silicon dioxide film, instead It can be oxygen to answer thing A.In the embodiment shown in Fig. 1, in whole deposition cycle 110A and 110B, reactant A is continuously Flowing.Different from common ALD techniques, the wherein exposure of film precursor is separated to prevent gas phase reaction, the reality of some CFD techniques Apply in mode, reactant A and B can be mixed with gas phase.It is as noted before, in some real embodiments, reactant A and B are selected, Enable them to coexist in gas phase, without application energy of plasma or activated surface reaction before in the reactor Reacted considerably from one another under conditions of running into.In some cases, reactant is selected, to cause the reaction of (1) between them It is thermodynamically favourable (that is, Gibbs free energy<0) and (2) reaction has sufficiently high activation energy, so that Under required depositing temperature, reaction can be ignored.Meet the various combinations of reactants of these conditions this specification its He has confirmation in place.Many such combinations include providing the main reactant of the key element for solid at room temperature, and do not carry For at room temperature be solid key element assisted reaction thing.The embodiment of the assisted reaction thing used in some combinations includes Oxygen, nitrogen, alkylamine and hydrogen.
In the ald process, reactant A is switched on first, is then stablized, and be exposed to substrate, is then shut off, and finally from Removed in reactor, compared to ALD techniques, the flow rate of reactant A can be continuously reduced or eliminated to treating stations supply response thing A Conducting and stabilization time.Although the embodiment shown in Fig. 1 describe reactant A exposure phase 120A and B have it is constant Flow rate, but it is understood that, the reactant A of any desired flow can be used in scope disclosed by the invention, including can The flow of change.In addition, though Fig. 1 shows that reactant A circulates (deposition cycle 110A) in whole CFD and has constant flow rate, But this is not inevitable.For example, during B exposure phases 140A and 140B, the flow rate of reactant A can reduce.This may B local pressure can be increased, so as to increase the driving force by reactant B absorption on the surface of a substrate.
In some embodiments, reactant A exposure phase 120A can have is covered with the substrate surface time more than reactant A Duration.For example, the reactant A that the embodiment shown in Fig. 1 is included in reactant A exposure phase 120A is sudden and violent after being covered with Reveal the time 130.Alternatively, reactant A exposure phase 120A includes in check inert gas flow rate.The example bag of inert gas Include, but be not limited to, nitrogen, argon gas and helium.Inert gas can be provided, with the pressure at assist process station and/or temperature control, The evaporation of liquid precursor, precursor is transmitted more quickly and/or is used to remove from treating stations and/or treating stations pipeline as gas is cleaned Remove process gas.
In the reactant B exposure phase 140A of Fig. 1 illustrated embodiments, reactant B is supplied to processing with controlled flow rate Stand, to be covered with exposed substrate surface.In a silicon dioxide film example, reactant B can be BTBAS.Although shown in Fig. 1 Embodiment describes the reactant B exposure phase 140A with constant flow rate, it will be appreciated that, in model disclosed by the invention In enclosing, any suitable reactant B stream, including variable stream can be used.Moreover, it will be understood that reactant B exposure phase 140A can have any suitable duration.In some embodiments, reactant B exposure phase 140A duration can Time is covered with the substrate surface more than reactant B.For example, Fig. 1 illustrated embodiments, which describe, is included in reactant B exposure rank Section 140A in reactant B be covered with after open-assembly time 150.Alternatively, reactant B exposure phase 140A may include suitably by Inert gas flow is controlled, the inert gas flow is as set forth above, it is possible to pressure and/or temperature control, the liquid precursor at assist process station Evaporation, the more rapidly transmission of precursor, and treating stations gas back-diffusion can be prevented.In the embodiment shown in fig. 1, whole Individual reactant B exposure phase 140A, inert gas are continuously supplied to treating stations.
In some embodiments, the deposition reaction of plasma activation, reacts compared to hot activation, can cause relatively low Depositing temperature, this is possible to the consumption for reducing available thermal budget (thermal budget) in integrated approach.For example, in some realities Apply in mode, the CFD processes of plasma activation can occur at room temperature.
Although the CFD techniques of Fig. 1 illustrated embodiments are activated with plasma, it will be appreciated that, in the present invention In scope of disclosure, other non-thermal energy can be used.The non-limiting example of the non-thermal energy include, but not limited to uviol lamp, Downstream or remote plasma source, inductively coupled plasma and microwave surface wave plasma.
In addition, many examples discussed in this article include two kinds of reactants (A and B), it is to be understood that of the invention public In the range of opening, any an appropriate number of reactant can also be used.In some embodiments, can use be used for wait from Daughter supplying energy is to carry out the single reactant and inert gas of the surface decomposition reaction of reactant.Alternately, as above For text discussed in the background of feature 7, some embodiments can use the reactant deposition film of three or more.
In some cases, the B substance of adsorption may exist with discontinuous island on the surface of a substrate, and this makes Surface must be difficult to and be covered with reactant B.Various surface conditions may retarted reaction thing B nucleation on the surface of a substrate and cloth It is full.For example, the ligand that reactant A and/or B discharge when adsorbing may prevent some surface-active points, entering for reactant B is prevented One step is adsorbed.Therefore, in some embodiments, during reactant B exposure phase 140A, by modulate flow and/or from Dissipate application pulse mode and reactant B is fed treating stations, the continuous adsorption layer of reactant B (adlayers) can be provided.Compared to The situation of constant flow rate, this can be while reactant B be protected, there is provided the extra time is used for adsorption process and goes to adsorb Process.
Additionally or alternatively, in some embodiments, between reactant B continuously exposes, one can be included Or more clean the stage.For example, Fig. 2 illustrated embodiments schematically show the exemplary CFD works for deposition cycle 210 The timing diagram 200 of skill.In reactant B exposure phase 240A, reactant B is exposed to substrate surface.Then, in the stage of cleaning 260A, reactant B are closed, and the reactant B of gas phase is removed from treating stations.In one case, vapor-phase reactant B can be with The reactant A and/or inert gas continuously flowed substitutes.In another case, vapor-phase reactant B can be handled by evacuation Stand and be removed.The balance for adsorbing/going adsorption process can be changed by removing vapor-phase reactant B, gone to adsorb ligand, promoted to be inhaled Attached B surface rearrangement is to merge adsorbed B discontinuous island.In reactant B exposure phase 240B, reactant B exposes again In substrate surface.Although Fig. 2 illustrated embodiments include the cleaning of reactant B and a kind of situation of exposure cycle, it should be understood that , in scope disclosed by the invention, can also use and repeat any an appropriate number of alternate cleaning and exposure cycle.
Fig. 1 embodiment is returned to, before 180A is activated by plasma, in some embodiments, Ke Yi Cleaning stage 160A removes vapor-phase reactant B from treating stations.In addition to above-mentioned exposure phase, CFD circulations can also include one Individual or multiple cleaning stages.Clean treating stations can avoid gas phase reaction, in such gas phase reaction, reactant B be easily by To the influence of plasma activation.In addition, part of the absorption on surface can be removed by cleaning treating stations, otherwise, these are matched somebody with somebody Cognition stays and polluted membrane.The example for cleaning gas includes, but not limited to argon gas, helium and nitrogen.In Fig. 1 example showns In, the cleaning gas for cleaning stage 160A is supplied by inert gas flow.In some embodiments, cleaning stage 160A may include One or more evacuates sub-phase and is used to evacuate treating stations.Alternatively, it is to be understood that in some embodiments, also may be used To omit cleaning stage 160A.
Cleaning stage 160A can have any suitable duration.In some embodiments, increase is one or more Cleaning stage 160A duration can be reduced by cleaning the flow rate of gas.For example, can be according to the thermodynamics spy of various reactants Property and/or the geometric properties adjustment of geometric properties and/or treating stations pipeline for the treatment of stations clean the flow rate of gas, it is clear so as to adjust Sweep stage 160A duration.In a nonrestrictive example, specific gas flow rate optimization cleaning can be cleaned by adjusting The duration in stage.This can reduce the time of deposition cycle, so as to improve the throughput of substrate.
Except above-mentioned exposure and in addition to the optional cleaning stage, CFD circulations generally also include " activation stage ".The activation rank Section is used for the reaction for driving the one or more reactants of absorption on the surface of a substrate.In the embodiment shown in Fig. 1 etc. Gas ions activate stage 180A, there is provided react on the surface between reactant A and B that energy of plasma is adsorbed with activated surface. For example, plasma can direct or indirect activating reaction thing A gas molecule in space, to form the free radical of reactant A.Then, this A little free radicals can interact with the reactant B of adsorption, result in the surface reaction of film.Deposition cycle 110A terminates Stage 180A is activated in plasma, in the embodiment shown in fig. 1, is followed by deposition cycle 110B, starts reactant A exposure Stage 120B.
In some embodiments, the plasma lighted in stage 180A is activated in plasma, can be directly in substrate Formed on surface.This can provide bigger plasma density and the surface reaction speed of the enhancing between reactant A and B Rate.For example, radio frequency (RF) field can be applied to low-pressure gas by the plasma for CFD techniques by using two capacitive coupling plates Generation.In alternative embodiment, remote plasma can be generated in the outside of main reaction chamber.
Plasma can be formed using any suitable gas.In first example, inert gas can be used (such as argon gas or helium) is to form plasma.In second example, the reactant of such as oxygen or ammonia etc can be used Gas is to form plasma.In the 3rd example, plasma can be formed using gas (such as nitrogen) is cleaned.When So, the combination of the gas of these species can be used.Plasma is lighted by the ionization of RF fields gas between the plates, in plasma Body region of discharge (plasma discharge region) produces free electron.These electronics are accelerated by RF fields, and can be with gas phase Reactant molecule collides.The collision of these electronics and reactant molecule can form the Kinds of Free Radicals thing for participating in deposition processes. It is understood that RF fields can be coupled by any suitable electrode.The non-limiting example of electrode includes process gas point Cloth shower nozzle (showerheads) and substrate support pedestal.It is understood that except RF fields be capacitively coupled to gas method it Outside, the plasma for CFD techniques can also be formed with other one or more suitable methods.
Plasma-activated stage 180A can have any suitable duration.In some embodiments, plasma Activation stage 180A can have certain duration, and the duration exceedes plasma-activated free radical and all exposures Substrate surface and absorbate interacted with the top of substrate surface formed continuous film needed for time.Example Such as, the open-assembly time after the plasma that the embodiment shown in Fig. 1 was included in plasma activation stage 180A is covered with 190。
Explain as more fully discussed infra, and as proposed in the discussion to feature 4 above, extend plasma Open-assembly time and/or offer multiple plasma exposure stages, it is possible to provide whole deposition film and/or surface near portions deposition film Post-reaction treatment.In one case, reducing surface contamination by corona treatment can prepare for adsorption reaction thing A's Surface.For example, by siliceous reactant and containing the silicon nitride film formed is reacted between nitrogen reactant, there can be anti-absorption follow-up anti- Answer the surface of thing.With corona treatment silicon nitride surface, the hydrogen bond for being advantageous to subsequent adsorbtion and reaction can be produced.
In some embodiments, attribute (such as membrane stress, the dielectric of film can be adjusted by changing plasma parameter Constant, index of refraction, etch-rate), this will be discussed in more detail below.Table 3 is provided for the three of 400 degree Celsius depositions The exemplary lists of the various membrane properties of the exemplary CFD silicon dioxide films of kind.For ease of reference, table 3 also includes being used for Celsius The film information of the exemplary PECVD silicon dioxide films of 400 degree of depositions.
For example, Fig. 3 schematically shows CFD process timing sequences Figure 30 0 embodiment, it includes the depositional phase 310, and The corona treatment stage 390 afterwards.It should be understood that in the corona treatment stage, it is any suitable etc. to use Gas ions.In the first scenario, can in the activation of deposition cycle, using the first plasma gas, and The corona treatment stage uses second of different plasma gas.In the latter case, in corona treatment rank Section, second of different plasma gas can supplement the first plasma gas.Table 4 is provided for plasma in situ The non-limiting parameter area of body processing cycle embodiment.
The stage 380 is activated in the plasma shown in Fig. 3, substrate surface is anti-with activated membrane deposition exposed to plasma Should.Described by embodiment as shown in Figure 3, treating stations are provided with continuous reactant A stream, for example, it can be that auxiliary is anti- Answer thing (such as oxygen) and the inert gas in cleaning stage 390A in corona treatment.Cleaning treating stations can be from treating stations Remove volatile pollutant.Although Fig. 3 shows cleaning gas, it will be appreciated that, in scope disclosed by the invention, Any suitable method for removing reactant can be used.Stage 390B is activated in corona treatment, plasma is ignited To handle the film of the new deposition of the whole film newly deposited and/or near-surface region.
Although Fig. 3 embodiment includes the CFD circulations of an example, CFD circulations include the corona treatment stage, It will be appreciated that in scope disclosed by the invention, any an appropriate number of repetition can also be used.Further, it should be understood that Be, one or more plasma process cycles can (regularly or otherwise) be spaced the normal deposition cycle of insertion it Between.For example, Fig. 4 shows CFD process timing sequences Figure 40 0 embodiment, its be included between two deposition cycles insertion etc. from Daughter processing stage.Although Fig. 4 embodiment includes the plasma process cycles between two deposition cycles of insertion, should Understand before or after one or more plasma process cycles, there can be any appropriate number of deposition cycle. For example, in one case, corona treatment is used for changing the density of film, and plasma process cycles can be at interval of ten Inserted after individual deposition cycle.In one case, corona treatment is used for preparing the surface for adsorbing and reacting, plasma Body processing stage can be incorporated to each CFD circulations, for example, after each CFD depositional phases.
The corona treatment of deposition film, thus it is possible to vary one or more physical characteristics of the film.In one case, etc. Gas ions processing can make new deposition film become fine and close.Dense film can more etch resistant than un-densified film.For example, Fig. 5 is shown The control 500 that the etch-rate of silicon dioxide film and thermally grown silicon dioxide film to exemplary CFD processing compares Embodiment.The embodiment of Fig. 5 exemplary film is within the temperature range of from 50 to 400 degree Celsius, passes through CFD techniques 502 and 504 depositions.As reference, for undoped silicate glass (USG) relative etch-rate and pass through plasma The relative etch-rate for strengthening the silica separation layer (spacer layers) of CVD process deposits is shown in Figure 5.By Each deposition cycle includes the film that the technique 502 in one second high frequency oxygen plasma activation stage produces, and its resistance to diluted hydrofluoric acid is wet Method etching (100:1H2O:HF ability), it is by including ten seconds high frequency oxygen plasma activation stages in each deposition cycle Technique 504 produce film the wet etching of resistance to diluted hydrofluoric acid (100:1H2O:HF ability) it is only about half of.Therefore, Ying Li Solution, by change plasma activate the stage one or more aspects and/or including one or more plasmas at Reason circulation can change the etch-rate of deposition film.
In another case, the corona treatment of film can change the stress characteristics of film.For example, Fig. 6 shows use In exemplary CFD silicon dioxide films wet etch rate than the correlation 600 between membrane stress embodiment.In Fig. 6 In the embodiment of display, for example, reducing wet etch rate ratio for example, by extending plasma exposure time, it can increase Compress membrane stress.
In another case, the corona treatment of deposition film can provide transient state otherness (transient Differential) remove, with relative to other film components (for example, silicon and/or oxygen in an Exemplary silica film Gas) remove micro membrane contaminant (for example, hydrogen, nitrogen and/or carbon in an Exemplary silica film).For example, Fig. 7 is shown The embodiment of correlation 700 between depositing temperature, plasma exposure time and membrane contaminant concentration.Real shown in Fig. 7 Apply in mode, compared to CFD titanium dioxides deposited at a temperature of 50 degree Celsius, that there is one second oxygen plasma activation stage Silicon fiml 702, CFD silicon dioxide films 704 depositing at the same temperature, having 10 seconds oxygen plasma activation stages, shows The concentration of relatively low hydrogen and carbon is shown.The change of membrane contaminant concentration can change the electric and/or physical property of the film.Example Such as, the regulation to carbon and/or hydrogen content can be with the dielectric constant of adjusting film and/or the etch-rate of film.Thus, it will be appreciated that It is to change the one or more aspects in plasma activation stage and/or including one or more plasma process cycles, can carries Method for changing film composition.
Although corona treatment discussed above be related to oxygen plasma processing, it will be appreciated that, without departing from In the case of the scope of present embodiment, any suitable corona treatment can also be used.For example, in some embodiments In, substituted amine can be used as containing nitrogen reactant to substitute NH in suitable CFD techniques3.Although with substituted amine (example Such as, the alkylamine as tert-butylamine) replace NH3Many benefits can be provided with depositing conformal SiN, but in some cases, Deposition film contains the carbon residue for coming from alkylamine thing (for example, coming from included in each tert-butylamine molecule (NH2- (CH3)3) in three methyl groups carbon residue).Carbon in this film can cause to leak electricity, and film can be caused to cannot be used for one A little dielectric barrier applications.
Therefore, in some embodiments, hydrogen plasma being lighted in SiN film deposition process can reduce in SiN film Carbon residue, this can relatively improve the insulating properties of film.In some instances, the reduction of carbon residue can be in infrared light Easily observed in spectrum (FTIR).For example, SiN:C-H levels can be reduced to about 1% atom from about 10% atom.
Therefore, in some embodiments, the deposition of silicon nitride film can be used with CFD techniques contains alkylamine or alkyl The nitrogen reactant and one that contains of amine blends is taken turns or takes turns hydrogen plasma process more.It is understood that the disclosure is not being departed from In the case of scope, any suitable hydrogen plasma can also be used.Therefore, in some embodiments, H2With such as He Or the mixture or other gases containing H or the active hydrogen atom as caused by remote plasma source of the gas such as AI, available for locating Manage deposition film.In addition, in some embodiments, quantity and their duration, processing of pulse etc. are handled by changing One or more of gas ions intensity, underlayer temperature and process gas composition, the carbon content in film can be adjusted to any Suitable concentration.
Although hydrogen plasma process discussed above is related to silicon nitride film, it will be appreciated that, it is suitable to use Hydrogen plasma process application contain to adjust the carbon of other CFD deposition films (including, but not limited to SiOx, GeOx, SiOxNy) Amount.
Some embodiments disclosed by the invention be related to oxide CFD films UV treatment (with or without etc. from Daughter is handled).The processing can reduce the defects of oxide, and improve the electrical properties such as the CV characteristics of gate dielectric. The equipment and package application (package applications) using CFD oxides of such processing, which can be had benefited from, includes silicon Through hole, isolate after (STI), the stripping of STI- photoresists the thin heat formed using logic technology, the shallow trench of gate oxide Oxidation, the sacrifical oxide (for example ,~60A) before the implantation of P wells, " well " thermal oxide growth, gate oxide/channel region afterwards Oxide, DRAMPMD PECVD oxides.
In some cases, it has been found that untreated CFD oxidation films have relatively poor electric property, it is believed that are Caused due to the fixed charge in deposition film.For instance, it has been found that there is some films Vfb in significant chip to change.Pass through Using ultraviolet radiation and/or rear deposition processes of thermal annealing in the presence of hydrogen, it is such the problem of be resolved.Now think this Method be passivated and/or mitigate with the oxide in (1) silicon interface, or (2) in the dielectric film of deposition, or (3) aoxidizing The defects of fixed charge (surface charge) in the air on thing surface is relevant.Using such processing, the oxide deposited Vfb is diffused in after UV solidifications from 8.3V constrictions to about 1.5V or so.
Although these embodiments are directed primarily to improve oxidation film, the method disclosed in the present can also typically answer For dielectric, metal, to dielectric interface metal growth technique.Specific dielectric substance includes, for example, silica Compound (Si oxide for including doping), silicon carbide, silicon oxycarbide, silicon nitride, silicon-oxygen nitride and it can be ashed hard Mask material.
May apply to the example for the processing method for improving dielectric properties includes herein below:
(A) solidified using UV and the dielectric film synthesized by CFD is carried out depositing post processing and then carries out hydrogen annealing.Most In simple embodiment, UV processing is can be used alone to reduce fixed charge.
(B) pretreatment of the substrate before CFD dielectric film depositions, the treatment technology used in the pretreatment include:He, H2、Ar、N2、H2/N2Gas, the NH of formation3In the presence of, H2- plasma, N2- plasma, N2/H2- plasma, NH3- Plasma, Ar- plasmas, He- plasmas, He annealing, H2- annealing, NH3- annealing, UV solidifications.Corona treatment can Implemented with various plasma generators, included, but not limited to microwave, ICP- is long-range, ICP- is direct and similar device is real Apply.
(C) while the processing (solidifying in deposition process) that carries out, the treatment technology that the processing utilizes includes:In He, H2、 Ar、N2、H2/N2Gas, the NH of formation3In the presence of, H2- plasma, N2- plasma, N2/H2- plasma, NH3- etc. Gas ions, Ar- plasmas, He- plasmas, He annealing, H2- annealing, NH3- annealing, UV solidifications.Corona treatment can be with With various plasma generators, include but is not limited to, microwave, ICP- are long-range, ICP- is direct and it is as known in the art other Means.Adaptable isotropism and directional process include, but not limited to that remote plasma, UV be exposed, direct plasma Body and microwave plasma.Exemplary method, it is included between CFD circulation groups intermittently process film.CFD circulation groups can About changing out of 1 to 10000 circulation.A kind of typical situation includes:(1) 5 CFD oxide growths circulation, then, (2) one or more film process are carried out with any method described above (for example, He- plasmas, UV- processing), then, (3) 5 CFD oxide growths circulations.The method can be used for film of the growth with any desired thickness.
(D) UV handles the spin-off as any of above corona treatment (for example, helium plasma launches ultraviolet spoke Penetrate).
It is related to following operation in an example of CFD cyclic processes situ " solidification " step:
The UV processing carried out by He- plasmas
BTAAS dosings
Remove (purge)
O2/ Ar-RF plasmas activate
Remove
Repeat step 1-5 is with the film of thickness needed for producing
A series of UV conditions of cure can be used in any listed environment.In general, the base-plate temp is in solidification process In will remain between about 250 to 500 DEG C.Manufacture application for many devices, temperature upper limit are 450 DEG C even 400 DEG C. Environment employed in solidification process can be inert or reactive.The example of gas that may be present in the curing process Including helium, argon gas, nitrogen, formation gas and ammonia.The flow rate of this kind of gas can be about 2 to 20,000sccm, be preferably About 4000 to 18000sccm.The power of uviol lamp can be, for example, about 2-10kW, and preferably from about 3.5 between 7kW.Exposure It is (for example, about 90 seconds) between about 20 seconds and 200 seconds in the suitable duration of the ultraviolet from such source.Finally, Pressure is horizontal between being maintained at 0 Torr to about 40 Torrs.
In a specific embodiment, effective processing of CFD oxides is obtained using following condition:
Base-plate temp=400 DEG C
Environment=He
Pressure=40TorrHe
Flow rate=10000sccm
In some embodiments, the thermal annealing of the oxide is carried out after UV curing operations.In one example, exist Following condition is used in annealing:
Base-plate temp=400 DEG C
Environment=H2+N2
Pressure=2.5 Torr
Flow rate=750sccm H2;3000sccmN2
The physically and electrically characteristic that can also change the film of deposition by adjusting such as other technological parameters of depositing temperature.Example Such as, the correlation 700 of example as shown in Figure 7 also show the relation between CFD film deposition temperatures and membrane contaminant concentration.With Film deposition temperature rise, the incorporation of membrane contaminant is reduced.In another example, as described above, the embodiment party shown in Fig. 5 Formula shows that the wet etch rate of the silica CFD films of example is reduced than the rise with depositing temperature.Can be by Other deposition parameters that regulation is used for adjusting film properties include RF power, RF frequency, pressure and flow rate.In addition, in some implementations In mode, membrane property can be changed by changing the selection of reactant.For example, made by using tetraisocyanate silane (TICS) For siliceous reactant and oxygen and/or nitrous oxide are as oxygen-containing reactant, it is possible to reduce the hydrogen content of silicon dioxide film.
It is understood that the change of the membrane property of physics and/or electricity, as discussed above, it is possible to provide chance is to adjust The performance and yield of whole device, and chance is provided to change the various aspects of device manufacturing process integration.As a non-limit The example of property processed, adjusting the ability of the etch-rate characteristic of CFD silicon dioxide films can be such that the film is covered as etch stop, firmly Mould and the candidate of other process integrations application.Therefore, being provided herein in the manufacturing process of whole semiconductor devices should The different embodiments of the film of CFD manufactures.
In an arrangement, CFD techniques can on the substrate of non-flat forms depositing conformal silicon dioxide film.For example, CFD Silicon dioxide film can be used for the gap of structure to fill, for example, the trench fill of shallow trench isolation (STI) structure.Although retouch below The various embodiments stated be related to gap filling application, but it is understood that, this it is only nonrestrictive, illustrative should With can be in the range of the covering of the disclosure using other suitable applications of other suitable membrane materials.CFD silica The other application of film includes but is not limited to, dielectric before interlayer dielectric (ILD) application, inter-metal dielectric (IMD) application, metal (PMD) application, dielectric liner silicon hole (TSV) application, resistance-type RAM (ReRAM) applications, and/or the stacking electricity in DRAM Vessel fabrication application.
Diffusion source is used as to the silica of boron, phosphorus, even arsenic dopant doping.It is, for example, possible to use boron adulterates Silicate glass (BSG), the silicate glass (PSG) of phosphorus doping or the silicate glass (BPSG) of boron phosphorus doping.Doping CFD layers can be used to provide guarantor in the three-dimensional transistor structure such as such as multi-gate FinFET and three dimensional memory device Shape is adulterated.Traditional ion implanter can not doped sidewalls easily, especially in high aspect ratio structure.The oxidation of CFD doping Thing has various advantages as diffusion source.First, they provide high conformal ability under cryogenic.Comparatively speaking, low pressure The TEOS (tetraethyl orthosilicate) of CVD production doping is known, but it is needed in high temperature deposition, and subatmospheric The oxide-film of CVD and PECVD doping is possible in relatively low temperature, but without enough conformalitys.The conformality of doping is Important, and the conformality of film in itself is also important, because film is typically to sacrifice application, and will need to be gone afterwards Remove.When removing, non-conformal film often is faced with more challenging, i.e., some regions may be by overetch.In addition, CFD is provided Very good controlled doping concentration.As set forth, CFD techniques can provide some undoped with oxide skin(coating) it Single doped layer is followed by provided.The horizontal frequency that can be used by the layer of deposited doping of doping and doping circulate Condition strictly control.In some embodiments, doping is cycled through for example using the doping with significant steric hindrance Agent source controls.In addition to traditional microelectronic, the other application of CFD doping also includes being based on such as GaAs (GaAs) It is the microelectronics and opto-electronic device of III-V semiconductors and such as mercury cadmium telluride (HgCdTe) II-VI group semiconductor, photovoltaic device, flat Panel display and electrochromism technology.
Some space stuffing techniques are related to performs two film deposition steps in different deposition tools, and it is needed in deposition work Vacuum break between skill and in air.Fig. 8 schematically shows exemplary non-flat including multiple gaps 802 Smooth substrate 800.As depicted in fig. 8, gap 802 can have different depth-to-width ratios, and the depth-to-width ratio can be defined as each The gap width (W) of gap 802 and the ratio of gap depth (H).Correspond to for example, the logic area of integrated-semiconductor device may have The different gap depth-to-width ratios of different logical device structures.
As depicted in fig. 8, non-flat forms substrate 800 is covered by thin, conformal film 804.Although conformal film 804 has There is the gap 802A completely filled, but gap 802B and gap 802C maintenances open.With conformal film closing gap 802B and 802C may cause the processing time extended.Therefore, it is higher by CVD and/or PECVD etc. in certain methods Sedimentation rate technique can deposit thicker film in ex situ.However, the ex situ deposition of gap filling film may be reduced Wafer throughput in production line.For example, the time of the substrate handling and transfer between deposition tool may reduce production Some substrate processing activities of period.This may reduce the handling capacity of production line, and may be needed in production line extra Handling implement installation and maintenance.
In addition, although gap 802C can have the depth-to-width ratio for being suitable for gas-phase deposition, 802B can have can Endless full packing is caused by higher sedimentation rate technique and the depth-to-width ratio in lockhole space can be formed.For example, Figure 10 shows High aspect ratio structure 1000 of the exemplary formation in substrate 1002 is gone out.As depicted by figure 10, in thicker film 1006 Deposition process in bread blocking effect produced lockhole space 1008.Lockhole space can reopen, and in subsequent work Conducting film is filled in skill, this may result in equipment short circuit.
Solves the device caused by gap as avoiding including offer the certain methods in the high-aspect-ratio such as gap 802B gap Part design rule.It however, such design rule may need extra mask step, may be stranded the design of device Difficulty, and/or the area of increased integrated semiconductor devices may be caused, this may increase manufacturing cost.Therefore, at some In embodiment, CFD techniques can include from CFD technique to CVD and/or pecvd process transition in situ.For example, Fig. 9 is shown It has been divided into the embodiment of the timing diagram 900 of three phases CFD techniques.CFD operation stages 902 depict exemplary CFD Process cycles.For clarity, single CFD process cycles are shown in example as shown in Figure 9, it should be appreciated that in CFD works Any appropriate number of CFD process cycles and plasma process cycles can be included in the skill stage 902.Transition stage 904 connects Continuous CFD operation stages 902.Example such as Fig. 9 is described, transition stage 904 includes both CFD techniques and pecvd process Aspect.Specifically, reactant B is provided to treating stations after reactant B exposure stage 904A terminates, so that reactant A Exist with B during plasma activates stage 904B with gas phase.This can provide PECVD types gas phase reaction and CFD simultaneously React on type surface.Although exposure stage 904A and plasma activation stage 904B of the transition stage 904 only including reactant B Once repeat, it is to be understood that transition stage can include any appropriate number of repetition.
In some embodiments, plasma generator can be controlled to during plasma activates stage 904B The energy of plasma of intermittent pulse is provided.For example, plasma can apply pulse, the frequency bag in one or more frequencies Include the frequency between (but not limited to) 10Hz to 150Hz.This can be by reducing Ions Bombardment compared with continuumpiston Directionality and strengthen step coverage.In addition, this can reduce the Ions Bombardment damage to substrate.For example, in continuous plasma Photoresist substrate can be corroded by Ions Bombardment during body.Photoresist can be reduced by pulsedly imposing energy of plasma The erosion of agent.
In fig.9 in shown embodiment, it is less than in the flow rate in plasma activation stage 904B present invention thing B The flow rate of reactant B during reactant B exposure phase 904A.Therefore, stage 904B present invention thing B is activated in plasma Can " drip " arrive treating stations.This can provide the gas phase PECVD reactions of supplement CFD- types surface reaction.It is appreciated, however, that , in some embodiments, the flow rate of reactant B can activate the stage or in the whole of transition stage in individual plasma Change during individual.For example, the transition stage repeated twice with plasma activation is being exposed including reactant B, first The flow rate in plasma activation stage present invention thing B can be less than the stream in the second plasma activation stage present invention thing B Rate.Flow rate of the change in plasma activation stage 904B present invention thing B can provide the step from CFD operation stages 902 Smooth transition of the coverage property to the sedimentation rate characteristic in pecvd process stage 906.
In some embodiments, CFD techniques may include the original of the reentry part of the film for optionally removing deposition Position etching.The nonrestrictive parameter area of the example for silica depositing operation, the silica are provided in table 5 Depositing operation includes being used for the in-situ etch of gap filling CFD techniques.
Figure 11 shows the CFD techniques for including depositional phase 1102, etch phase 1104 and subsequent depositional phase 1106 Timing diagram 1100 an embodiment.Depositional phase 1102 in the embodiment shown in Figure 11, film is deposited over substrate Exposure surface on.Circulated for example, the depositional phase 1102 can include one or more CFD process deposits.
In the etch phase 1104 of the embodiment shown in Figure 11, reactant A and B are closed, and etching gas is drawn Enter to treating stations.One non-limiting example of etching gas is nitrogen fluoride (NF3).In the embodiment shown in Figure 11, erosion Gas is carved to activate by the plasma lighted during etch phase 1104.For optionally removing in non-flat forms substrate On deposition film reentry part etch phase 1104 during, can adjust various technological parameters, such as treating stations pressure, The flow rate of underlayer temperature, etching gas.In the scope of the present disclosure, any suitable etch process can also be used.Other show The etch process of example includes but is not limited to, reactive ion etching, non-plasma vapor phase etchant, solid phase distillation and etching The absorption and orientation activation (for example, passing through Ions Bombardment) of material.
In some embodiments, incompatible gas gas-phase objects can remove before and after etching-film from treating stations.Example Such as, Figure 11 embodiment includes after inert gas has been off during etch phase 1104 in reactant A and B and lost Carve and continue to flow after gas has been closed.
At the end of etch phase 1104, the depositional phase 1106 starts, and further fills the gap on non-flat forms substrate.It is heavy The product stage 1106 can be any suitable depositing operation.For example, the depositional phase 1106 can include CFD techniques, CVD techniques, One or more of pecvd process etc..Although Figure 11 embodiment shows single etch phase 1104, can manage Solution, during space stuffing techniques, multiple in-situ etch techniques can be inserted in the heavy of multiple any suitable types by interval In the product stage.
The non-flat forms in each stage of the example of in-situ deposition and etch process described above are shown in Figure 12 A-C Substrate exemplary cross section.Figure 12 A show the cross section of exemplary non-flat forms substrate 1200, and it includes gap 1202. Gap 1202 is covered by film 1204.Film 1204 is almost conformal with gap 1202, but film 1204 is included in gap The reentry part 1206 of 1202 near top.
In embodiment as shown in Figure 12 B, the reentry part 1206 of film 1204 has been selectively removed and film 1204 upper area 1204A is more relatively thin than lower area 1204B.The optionally removal of the reentry part and/or Sidewall angles Adjustment, it can be realized by the way that the etching species of activation are applied with quality limitation and/or life-span limitation.In some embodiments, Top selective etch in gap 1202 can also adjust the Sidewall angles in gap 1202, so that gap 1202 is compared at top Bottom is wide.This can further reduce the bread blocking effect in the subsequent depositional phase.Embodiment as indicated in fig. 12 c, After the subsequent depositional phase, gap 1202 almost fills out, and shows tight.
Another embodiment of in-situ etch technique is shown in Figure 15, describes the silicon hole (TSV) of copper electrode wherein. It is about 105 microns that the TSV of some examples, which has depth, and a diameter of about 6 microns, it is about 17.5 to draw depth-to-width ratio:1, and can have There is the upper limit of about 200 degrees Celsius of heat budget (thermal budget).As shown in the embodiment in Figure 15, silicon leads to Hole 2500 is covered by dielectric barrier layer 2502 so that silicon substrate and metal filled through hole to be electrically isolated.The dielectric barrier layer of example Material includes but is not limited to, silica, silicon nitride, low-k dielectric material.In some embodiments, example described above Etch process can use the suitable sputter gas of such as argon gas, to reentrying portion of secondary with physical sputtering.
Application for other examples of CFD films includes but is not limited to, the interconnection isolation applications for production line back segment Conformal low-k film (such as k is about 3.0 or less than 3.0 in some non-limiting examples), for etching stopping layer and interval The conformal silicon nitride film of layer application, conformal anti-reflection layer, and the adhesion layer of copper and barrier layer.CFD can be used to prepare to use In the low K dielectrics of many heterogeneities of production line back segment processing.Example includes silica, oxygen doping carbide, carbon doping Oxide, oxynitride, etc..
In another example, in the case of a kind of integrated technique, silicon oxide spacers can be deposited on photic anti- Above " core " for losing agent.Using the core of photoresist, rather than another core material (such as silicon carbide layer), it can eliminate and collect Into the patterning process in technique.The technique may involve the use of common photoetching technique patterning photoresist, then directly In the CFD oxides of the core disposed thereon thin layer.Then can be removed using the dry method etch technology of orientation in patterning The CFD oxide-films at the top of photoresist and leave material only along the side wall of the photoresist of patterning in bottom and (examine Consider groove).In this stage, the core that can expose using being simply ashed to remove, CFD oxides are left.Once there is list The place of individual photoresist line is now with two CFD- oxidation lines.In this fashion, the technique makes pattern density double, Therefore it is sometimes referred to as " double patterning ".Unfortunately, the deposition temperature of the core meeting limit interval layer of the photoresist used Degree is less than 70 degrees Celsius, and it can be less than the depositing temperature of conventional CVD, PECVD, and/or ALD technique.Therefore, implement at some In mode, the CFD silicon dioxide films of low temperature can be in less than 70 degrees Celsius depositions of temperature.It is understood that in the disclosure The integrated technique application of other films for being potentially used for suitable CFD- generations in covering scope be present.In addition, in various implementations In mode, nitride, the silicon nitride of deposition as described above, each rank in semiconductor devices manufacture can be adopted as The conformal diffusion impervious layer and/or etch stop of section.
, can be with although above-mentioned various CFD depositing operations are for deposition, handle and/or etch single film type Understand, some CFD techniques in the covering scope of the disclosure can include the in-situ deposition of multiple film types.For example, can With the alternate type of film of in-situ deposition.In the first string, double separation layers of gated device can pass through in-situ deposition nitrogen Change silicon/oxidative silicon separation layer to stack to manufacture.This can reduce circulation time, and improve treating stations handling capacity, can avoid because Incompatible the formed interlayer defect of potential film layer.In second scheme, the anti-reflecting layer of lithographic patterning application can be with It is deposited as the SiON or amorphous silicon and SiOC stack layer with dimmability energy.
In some embodiments, the active layer comprising dopant is formed by conformal film depositing operation.The layer is referred to as " source " layer, because it provides the source of dopant (for example, the dopant atom such as boron, phosphorus, gallium and/or arsenic).The CFD of doping Layer is used as being used for the source for adulterating the dopant of lower floor (or upper strata) structure in a device.After formation active layer (or the shape in active layer During), dopant species are driven or are otherwise incorporated to the adjacent structure in the equipment manufactured.In some realities Apply in mode, annealing during dopant species are by forming conformal dopant source film or afterwards operates driving.CFD's Highly conformal property allows the structure for adulterating non-traditional device, and the structure includes wherein needing the structure for adulterating three-dimensional structure. CFD dopant source layers are typically what is formed by one or more techniques as described herein, but it includes the attached of incorporation dopant species Processing is added to operate.In some embodiments, dielectric layer is used as the base active layer for wherein including dopant.
For example, the silica of doping is used as the diffusion source of boron, phosphorus, arsenic etc..It is, for example, possible to use boron doped silicon The silicate glass (BPSG) of silicate glass (BSG), the silicate glass (PSG) of phosphorus doping or boron phosphorus doping.
The CFD layers of doping can be used in the three-dimensional crystal such as such as multi-gate FinFET and three dimensional memory device Conformal doping is provided in tubular construction.The example of some three-dimensional structures can be at " Tri-gate (Intel) ":J.Kavalieros Et al, Symp.VLSI Tech Pg 50,2006 and " FinFET:Yamashita et al.(IBM Alliance),VLSI Found in 2011, the two entire contents is incorporated herein by reference.Traditional ion implanter can not doped sidewalls easily, especially It is in high aspect ratio structure., can be with for directed ion beam in injector in addition, in the i3D structures of closely spaced array There is shadowing effect (shadowing effect), this adds serious dosage retention problems for inclined implant angle.Remove Outside traditional microelectronic, the other application of CFD doping also include based on such as GaAs (GaAs) III-V semiconductors with Such as microelectronics of mercury cadmium telluride II-VI group semiconductor and opto-electronic device, photovoltaic device, flat-panel monitor and electrochromism skill Art.
Figure 16 shows the transistor with three-dimensional grid structure, wherein, source electrode and drain electrode are formed and are being difficult to by traditional In the thin vertical stratification of ion implantation technique doping.However, when the CFD thin oxide layers that n or p-type are adulterated are formed in vertical stratification When upper, conformal doping is completed.Have been observed that, due to reducing series resistance, conformal doping puies forward the current density of three-dimension device High 10-25%.Referring to Yamashita et al, VLSI 2011.
The oxide of CFD doping has various advantages as diffusion source.First, they provide high conformal energy under cryogenic Power.Because doping can be sacrificial, when removing, non-conformal film often is faced with more challenging, i.e., some Region may be by excessive erosion.As it was previously stated, CFD provides highly conformal film.In addition, CFD provide it is extremely good controlled Doping concentration.As needed, CFD techniques can provide some undoped with oxide skin(coating) single mix followed by be provided Diamicton.The horizontal of doping frequency and the condition of doping circulation can strictly control as used in deposition doped layer.Some In embodiment, doping cycles through for example to be controlled using the dopant source with significant steric hindrance.
Figure 17 shows the benchmark CFD operation orders promoted over time along x-axis from left to right.Support many change sides Case, and the figure is served only for the purpose of explanation.In initial sequence, in A is operated, gaseous oxidizing agent is introduced in comprising thereon The reative cell of the substrate of CFD films will be deposited.The example of suitable oxidant includes elemental oxygen (for example, O2Or O3), nitrous oxide (N2O), water, such as isopropanol alkylol, carbon monoxide and carbon dioxide.Oxidant generally with the indifferent gas such as such as argon gas or nitrogen Body provides together.
Then, in B is operated, dielectric former is temporarily introduced into reative cell.Selection operation B duration is to allow The precursor is adsorbed onto substrate surface to be enough the amount for supporting the film of a circulation to grow.In some embodiments, the precursor It is covered with substrate surface.The ability of the required composition of dielectric is produced according to it and selects precursor.The example bag of dielectric composition Include Si oxide (including silicate glass), silicon nitride, silicon-oxygen nitride and silicon oxycarbide.The example bag of suitable precursor Include alkyl silane (SiHx(NR2)4-x), wherein x=1-3, and R includes alkyl is various such as methyl, ethyl, propyl group and butyl Isomeric configuration) and halogenated silanes (SiHxY4-x), wherein x=1-3, and Y includes Cl, Br and I).More specifically example includes dioxane The alkyl silane of base amino silane and steric hindrance.In a specific example, BTBAS is the precursor for preparing silica.
During B is operated, during stage A, the oxidant for introducing room continues to flow.In some embodiments, It continues to flow during A is operated with identical speed and identical concentration.In operation B tail end, enter what is entered the room The stream of dielectric former is terminated, and starts to operate C as described.During C is operated, such as during A and B is operated The same oxidant and inert gas continue to flow, to remove remaining dielectric former in the reaction chamber.
During C is operated after the purging is finished, the precursor reacts to form the one of dielectric film on the surface of a substrate Divide (referring to operation D).In various embodiments, plasma is applied in drive the reaction of adsorbed dielectric former. In some instances, the reaction is oxidation reaction.Some in the oxidant in reative cell are first flowed into together with dielectric former It can be adsorbed on the surface, so as to provide immediately available oxidant for the reaction of the surface of plasma-mediated.
Operation A to D provides the single loop of dielectric film deposition technique jointly.It should be appreciated that it is described herein other CFD embodiments can also replace basic recycling described herein.It is any not introducing in the embodiment described In the case of dopant species, perform deposition cycle (from A to D).In various embodiments, introduce dopant species it Before, continuously repeat by operation A to D circulation primaries represented or more time.This is as shown in the stage E in Figure 17.At some In example, before dopant is introduced, A-D is repeated at least once, or at least twice, or at least 5 times.
As an example, dielectric is deposited with the speed of about 0.5 to 1 angstrom/circulation.Pass through one or more circulation (weight Multiple A-D) in it is each, oxidant continues to flow into reative cell.
A certain moment in process, the circulation of dielectric deposition are introduced into dopant precursor material (for example, diborane) Interrupt.This is illustrated as operating F in figure.The example of available dopant includes chemical valence III and IV in the film of dielectric source Race's element, such as boron, gallium, phosphorus, arsenic and other dopants.The example of dopant precursor, except diborane, in addition to phosphine and other hydrogen Compound source.Non-hydrogenated dopant can also be used, such as alkyl precursor (such as trimethyl gallium), halogen precursor (for example, gallium chloride).
In some change programmes, dopant is deposited over the interface for the substrate that underlies, and is circulated followed by CFD, at every x The circular insertion of quantity has dopant to impose pulse (as described), and be optionally furnished with top undoped with protectiveness " capping " layer can be CFD oxide-films.Referring to the example of the lamination obtained by Figure 18.
In a specific embodiment, the dopant precursor mixed with carrier gas offer into reative cell, but not with Oxidant or the mixing of other reactants, the carrier gas such as inert gas (such as argon gas).Therefore, in benchmark example, in operation F mistakes Stop the stream of oxidant in journey.In other embodiments, precursor is concomitantly introduced into reducing agent or oxidant.In some embodiment party In formula, the concentration ratio of dopant and carrier gas is between about 1:5 to 1:Between 20.In some embodiments, dopant deposit temperature Spend between about 300 to 400 DEG C.The duration of dopant exposing step becomes according to the difference of target concentration of dopant Change.In some embodiments, exposing step is between about 2.5 seconds and 7.5 seconds.In a specific example, 1000sccm diborane flows into 10000sccm argon gas at 3 Torrs of pressure and about 400 DEG C.
In some embodiments, the dopant precursor limits mechanism aggregates on the surface of a substrate by non-surface.Example Such as, precursor can pass through CVD-type technique, rather than ALD (adsorption is limited) process deposits.
Optionally, before the further processing of dielectric film, from chamber cleaning dopant precursor.In addition, such as scheming Shown in 17, dopant precursor is conveyed, followed by optional activation manipulation G, it can be adjusted by plasma, temperature rise etc. Section.In diborane as in the example of dopant precursor, diborane is converted to element boron by activation manipulation.After operating G completions, Processing continues optional removing (not shown).
In one example, it is related to CVD diborane dopants, activation manipulation is based entirely on temperature and decomposed to produce boron 's.This is temperature sensitive processing.At a higher temperature, relatively short open-assembly time can be used, with thick for per unit Degree obtains identical boron concentration.Alternatively, in some techniques (for example, those techniques using front three borine (TMB)), activation It can relate to plasma or step of thermal oxidation.For some other precursors, use " pinning " step with it is fixed without boron or other Dopant is probably suitable in the original location.This can use the plasma of " pinning " to complete.
In some embodiments, the plasma-activated RF power for being related to any frequency suitable for carbon to be incorporated into film. In some embodiments, the RF power sources can be configured as controlling high and low-frequency RF power source independently of one another.Such as Low frequency RF power may include, but be not limited to, the frequency between about 200 kilo hertzs and 1000 kilo hertzs.Such as frequency RF power can Include, but not limited to the frequency between about 10 megahertzs and 80 megahertzs (such as 13.56 megahertzs).Equally, RF power sources are supplied Device and matching network can operate under any suitable power, to form plasma.The example of suitable power is included but not Be limited to for power of the high-frequency plasma between about 100 watts and 3000 watts and for about 100 watts of low frequency plasma with Power between 10000 watts (on the basis of each chip).The RF power sources can be operated with any suitable dutycycle. The example of suitable dutycycle includes, but not limited to the dutycycle between about 5% and 90%.Generally acceptable technique Pressure be between about 0.5-5 Torrs, between 2-4 Torrs.For before dopant is exposed to (underlie lining Bottom) pretreatment of some plasmas, it was found that it is good that pressure is up to about 10 Torrs of (or up to about 9 Torrs) working conditions.
Table below summarizes the scope that can be used for various BSG plasma in process parameters:
In the basic process described, as shown in the stage H of figure, dielectric deposition and intermittent dopant conveying (behaviour Make A to G) circulation can be performed a number of times.The actual frequency that the process sequence repeats depends on the gross thickness needed for film and often followed Dielectric thickness of ring deposition, and the amount for the dopant being incorporated into film.In some embodiments, A-G is repeated Or at least 5 times, or at least about 10 times at least twice, or at least three times,.
After dielectric film is deposited completely, it is used as the dopant species of neighbouring semiconductor structure Source.What this can be described by Figure 17 operation I completes dopant from deposition film driving to device architecture.In various realities Apply in mode, be driven through the hot diffusion technique (as annealed) mediated to complete.In some cases, those are particularly using super The situation of shallow junction, laser spike processing (laser spike annealing) can be used.
Many change programmes of basic process can be realized.The purpose of some schemes in these change programmes is that increase can For the amount for the dopant for being diffused into adjacent semiconductor structure.The purpose of other change programmes is to control the dopant from source film The speed of semiconductor structure near being transported to.The direction of other change programme control dopant species diffusions.Under normal circumstances, Preferably diffusion of the dopant towards device architecture and the opposite side of remote film is advantageous to.
In some embodiments, control dopant is introduced into the frequency of grown dielectric film.Before frequent dopant The conveying circulation of body causes the concentration of the dopant in final dielectric film overall bigger.They also result in adulterates in whole film The distribution of agent is relatively uniform.When less dopant precursor conveying circulation is inserted into depositing operation, with conveying when dopant Circulate frequent situation to compare, the region of the high-dopant concentration in film is more widely separated.
In one embodiment, it is transported to Jie of growth for each circulation of dielectric deposition, dopant precursor Plasma membrane is once.In another embodiment, dielectric deposition every one circulation described in dopant precursor conveyed Once.In other embodiments, dopant precursor conveying circulation less frequently is introduced into the technique.For example, it is situated between in electricity During every three, the 4th or the 5th circulation of matter deposition, dopant precursor can convey once.In some cases, before dopant Body is conveyed with the frequency in every 5-20 dielectric depositions cyclic process about once.
It should be appreciated that dopant precursor is introduced during dielectric film deposition need not keep one to the frequency of growing film Cause.In consideration of it, obtained dielectric film can have the dopant of gradient composition so that in the thickness of depositing dielectric films The mean concentration of dopant is uneven on degree.In one embodiment, in dielectric film and the semiconductor to be doped The concentration of the dopant of the side of the adjoining of device architecture is larger.Certainly, the dopant concentration gradient in dielectric film, can be with Adjusted as desired by the frequency for carefully changing the dopant conveying circulation in whole dielectric layer deposition technical process It is whole.
Another change programme of basic process is related to what the adjustment during dopant precursor conveying circulation was conveyed The amount of dopant precursor.The amount of the dopant precursor conveyed during given dopant conveying circulation is anti-by being transported to Duration of the exposure of the concentration of the dopant precursor of room and the substrate of dopant precursor to be delivered is answered to determine.
As noted above, some dopant precursors can be provided to growing film by class CVD techniques.In this feelings Under condition, the amount for the dopant precursor being transported in any given circulation in growing film is not adsorbed or the mediation of other surfaces Phenomenon limitation.Therefore, the amount of the dopant precursor provided in the conveying cyclic process of any dopant can be relatively more With it is controllable.The degree that a greater amount of dopants is conveyed in any dopant conveys cyclic process is reached, in dielectric film The total concentration increase of dopant.This may offset the dopant conveying circulation with relatively fewer frequency in whole technique Effect.It is to be understood, however, that the dopant that increase conveys in any given dopant precursor conveying cyclic process Amount, may result in the local concentration that dopant is relatively high in film.Certainly, such concentration of dopant peak value can be by moving back Fiery or other operations are by softening, by these operations, dopant diffusion, make its concentration in dielectric film evenly.
In the case where boron is as dopant, the flux of the boron transmitted in the conveying circulation of typical boron precursor is according to target Film concentration can be changed from about 7.5ML (Mega-Langmuirs) to 30ML, and ML is the unit of flux/exposure.
In some embodiments, in the growth of whole dielectric film, the doping conveyed in circulation is conveyed in each precursor The amount of agent precursor is non-constant.Therefore, the amount of the dopant precursor per circulation conveying can be adjusted to be produced in dielectric film Required dopant concentration gradient.For example, the position in the dielectric film in relatively close proximity to features in semiconductor devices to be adulterated It is probably desirably to put and provide further amounts of dopant precursor in the conveying circulation of those dopant precursors of generation.Gained To concentration gradient there is the dopant of higher concentration in the region of the film of adjacent device architecture to be adulterated.
In some embodiments, dopant precursor is introduced on substrate surface in a manner of adsorbing restriction.There is this In the case of the precursor of sample, dopant is introduced into film via class ALD sampling technologies (rather than class CVD modes as described above).It is logical Crossing the example for the dopant precursor that absorption mediation technique is attached to substrate surface includes trimethyl borine, and other alkyl precursors, Such as trimethyl gallium.The example of the dopant precursor of substrate surface is deposited in by class CVD techniques includes diborane, hydrogen phosphide and arsenic Change hydrogen.
In general, the concentration distribution of the dopant in dielectric film can be adjusted suitably.In an embodiment party In formula, concentration of dopant uprushed at the edge of the film of neighbouring structure to be adulterated (spike) arrive high level.In some embodiment party In formula, it is intermittently added and reduces in concentration described in whole film thickness.In one example, only in substrate and CFD electricity Jie of underliing Interface between matter layer provides dopant (such as boron).This doped layer is sometimes referred to as " layer of uprushing ".In some cases, make Dopant exposure carries out (such as being exposed to dopant precursor using CVD) in a pulsed fashion, rather than using single step, is mixed to improve Enter uniformity of the dopant in chip.In another example, CFD oxides or other dielectrics (such as adulterate with dopant Boron in BSG) it is interspersed.Referring to Figure 18 and 19.Interspersed doping dielectric can be provided with or be not provided with layer of uprushing.Again In one example, undoped with CFD oxides or other dielectric caps serve as protective layer.Referring again to Figure 18 and 19.
The resident dielectric film of dopant species can adjust to influence the diffusion that dopant is carried out in itself by film in itself. For example, the density and/or chemical composition of controllable film spread required influence to dopant to produce.It is whole in certain methods Individual dielectric thickness has identical density or composition so that the diffusion property of adjusted dopant is not in whole film thickness Become.In other methods, the property of film is adjusted so that the dopant across the thickness of film spreads change.Inventor is It was found that for example, plasma oxidation parameter can change, so that CFD oxides are less fine and close, to allow in annealing process In spread by the bigger dopant of CFD oxides.
In some embodiments, the composition of dielectric film (or for forming the process gas of film) is customized to influence wherein Dopant diffusion.It has been found that for example, oxidant work being transported in the deposition cycles of dielectric film in reative cell The scale effect dopant species of nitrogen and oxygen in skill gas diffuse through the ability of dielectric film.For example, in dielectric film The nitrogen of more amount present in the oxidant gas used in forming process cause dielectric film have dopant diffusion is shown Write impedance.In contrast, the oxygen for the relative more amount being present in gas causes the film to have to dopant diffusion much less Impedance.Nitrogen-containing compound (such as N can be passed through2O) or elemental nitrogen, N2Mode nitrogen present in process gas is provided. In various embodiments, the oxidant continuously flowed in the deposition cycles of dielectric film contains nitrous oxide.
In some embodiments, dielectric film is by initially use during the initial growth stages of dielectric film Made of the oxidant gas of elevated oxygen level and relatively low nitrogen content.Later, knot to be adulterated is partially formed in the film After on structure, change the composition of oxidant gas so that it is rich in nitrogen relatively.For example, in embryo deposit cyclic process, it is used for The oxidant gas of dielectric film can contain molecular oxygen completely.In dielectric deposition circulation afterwards, change oxidant gas, So that oxygen nitrous oxide substitutes at least in part.This assumes that the target is direction diffusion and court of the enhancing towards the bottom of film To the diffusion barrier-assume that device structure to be adulterated is located at the lower section of the dielectric film in the direction at the top of film.Inventor It was found that if the concentration level of nitrogen is greater than about 20 atoms of 1E/cubic centimetre (for example, being determined by SIMS), then boron is spread Barrier effect be significant.In contrast, in the case of about 1E19 atoms/cubic centimetre or lower nitrogen concentration, can have Effect ground eliminates barrier effect.
From film composition in itself from the point of view of, the nitrogen content in film can be near the substrat structure to be adulterated in film Part in relatively low level be changed in of a relatively high level in the relative part of structure to be adulterated.
Used depositing temperature also influences the ability that foreign atom spreads in film during dielectric film is formed. In general, it has been found that, allow generally for relatively high doping in the dielectric of relatively low temperature deposition by CFD processing The diffusion rate of agent.The example of the relatively low temperature related to the diffusion rate of relatively high dopant can be about 300 To the temperature of 400 DEG C of scope, or the temperature more specifically between about 350 to 400 DEG C.Certainly, these temperature ranges take Certainly in the selection of dielectric former and other deposition parameters.Although they can use many precursors, they are particularly suitable for making Dielectric former is used as by the use of BTBAS.
By contrast, the diffusion of dopant is often resisted in the dielectric deposition of of a relatively high temperature.Made with BTBAS For dielectric former, the relatively high temperature associated with the diffusion rate of relatively low dopant is at about 350 DEG C to 400 DEG C Scope in, or more specifically between about 300 to 380 DEG C.Certainly, such temperature can be applied to other precursors. The finer and close film that can resist dopant diffusion is generally provided in addition, though the fact is higher temperature, but it can also be passed through His parameter (RF open-assembly times and power in such as plasma oxidation process) control diffusion and/or density.In CFD oxides The example for the basic parameter that can be used in growth course includes the high frequency of (1) about 200-2500 watts (for 300mm chip) Plasma, usually not low frequency plasma, and (2) in the plasma exposure time of the scope of about 0.2 to 1.5 second.
In some embodiments, dielectric of the relatively low temperature with sedimentary facies adjacent to device architecture to be adulterated is used Film, higher temperature is used with the part away from the structure of deposit dielectric film.In some embodiments, in whole dielectric Temperature used is change in the deposition process of film, and the ratio of nitrogen and oxygen is also in oxidant gas in deposition process Change.By this way, the dopant diffusion of resulting dielectric film, which can change, reaches across the thickness of film The degree of exaggeration.
In various embodiments, depositing temperature is the pedestal by the way that support substrate is heated and/or cooled down during CFD Or chuck controls.What the example of suitable pedestal was submitted on May 5th, 2009, U.S. Patent application No.12/435,890 In the U.S. Patent application No.13/086,010 that (the application number US-2009-0277472 of announcement) and on April 13rd, 2011 submit Description, both entire contents are incorporated herein by reference.
In some embodiments, before the deposition of dielectric film or dopant precursor, in substrate table to be adulterated Device architecture on face is pre-processed.In one example, the pretreatment includes being exposed to plasma, such as exposed to also Originality plasma.For example, when the substrate feature adulterated contains silicon it is this processing be probably appropriate.Usual silicon contains few Native oxide is measured, the oxide can be as the barrier of the follow-up diffusion to dopant.In a specific embodiment, Substrate surface is pre-processed with Reductive plasma (as contained hydrogen plasma), and then first in dielectric film deposition follows Surface is contacted with the dopant precursor of gas phase before ring.Immediately after the completion of plasma pretreatment, precursor can be conveyed To reative cell.In some instances, the dopant precursor is diborane.In general, work depicted in figure 17 Skill could be modified so that first dielectric deposition circulation before, dopant or dopant precursor are transported to substrate Surface.
In various embodiments, before dopant precursor, dielectric film that part is formed in itself with etc. from Daughter or other activation process measures pretreatment.This is used for by (a) before dopant precursor exposure, there is provided thermal uniformity, (b) dielectric surface (for example, by chemical and/or physical roughening) is activated to strengthen dopant precursor to dielectric surface Adhesion, to improve uniformity in chip.
In some other embodiments, in the dopant precursor body conveying of film depositing operation and/or activation stage process The chemical state of middle control dopant species.In some embodiments, the dopant precursor is so that dopant " fixation " to be existed Mode in dielectric film is handled, so as to limit the diffusion of dopant, until it as annealing etc. then by operating quilt Activation.In one example, some dopants pass through oxidation during the dopant delivery phase of dielectric film deposition technique They or they precursor is fixed.In a specific example, diborane is transported to reative cell in an oxidizing environment, with Effectively resulting is fixed in dielectric film containing boron substance.Alternately, by being conveyed in inertia or reducing environment Precursor is then exposed in oxidation environment, to fix dopant, and dopant is located on dielectric film to reative cell.With this On the contrary, handling some dopant precursors with reducing agent in the case of not subsequent oxidation, can be produced more in dielectric film The dopant of more movements.
After active layer is formed (or during it is formed), dopant species driven or be otherwise incorporated to by Adjacent structure in the device of manufacture.In some embodiments, mix in conformal dopant source membrane process is formed or afterwards Miscellaneous dose of material is driven by making annealing treatment.Except the thermal annealing of routine, such as short annealing (flash can be used Annealing), laser spike (laser spike annealing).The time of annealing and temperature depend on various Parameter, parameter include the concentration of the dopant in active layer, number amount and type, the composition of active layer matrix (for example, oxide glass) The required concentration for the dopant being had to travel to form, dopant species in the distance of adjacent device architecture, device architecture, And the composition and form of the device architecture.In some embodiments, make annealing treatment between about 900 and 1100 DEG C At a temperature of carry out about 2 to 30 seconds.
Various devices are designed to deposit the dielectric film adulterated as described herein.Generally, the device can include For accommodating the process chamber of substrate in the deposition process of doping.Process chamber can include being used for receiving one of process gas or Multiple entrances, the process gas include dielectric former, oxidant, carrier gas or inert gas, dopant species etc..In various realities Apply in mode, the device can comprise additionally in the feature for producing plasma, and the plasma has following performance:It is suitable for Dielectric layer is formed, dopant is incorporated into dielectric layer, handles the dielectric layer to change the electric of layer, optics, machinery And/or chemical property, and dopant is driven into substrate from film.Typically, the device can include vavuum pump or for connecting To the equipment of this pump.Further, the device can have a controller or multiple controllers, and the controller is configured or set Count for controlling described device to realize the order of the dielectric deposition of doping described herein operation.The controller can include For the instruction for the various functions for controlling the device, the device includes:Conveying process gas simultaneously controls the valving of pressure, uses In the power source for producing plasma, and vacuum source.The instruction can control the sequential and order of various operations.Various In embodiment, the device can have as being obtained from the Novellus System in San Jose city VectorTMPossessed feature in series of deposition tools.In the appropriate device of the dielectric film of deposition doping described elsewhere herein Other features.
The CFD film properties of doping
The dielectric film for serving as the source of dopant substance will have various characteristics.In various embodiments, the thickness of film Between about 20 to 200 angstroms.In some cases, before such as the source drain extension area of three-dimensional transistor structure Road adulterates, and film thickness is between about 50 to 100 angstroms.Dopant atom (or other dopants) in dielectric film is averaged Concentration depends on many factors, includes the dopant total amount of the per unit surface area of film, and the dopant atom in film Diffusion coefficient and the application of doping.In some embodiments, the concentration of dopant in film is by weight between about 0.01 To between 10%.In further embodiment, the concentration of dopant in film is by weight between about 0.1% to 1% Between.In yet another embodiment, the concentration of dopant is by weight between about 0.5% to 4% in film.Retouch herein The technology stated allows concentration of dopant to adjust in a wide range, and the scope is for example, by weight between about 0.01 to 10% Between.For example, it has been proved that in CFD dielectric films, boron concentration can held very much between about 0.1 to 4.3% by weight Change places regulation.In some embodiments, 5,7,10 and 12 nanometers of CFD films growth has by weight between about 0.1 and 0.5% Between boron.
The dielectric film of CFD doping can be characterized by other performance.For example, the sheet resistance (Rs) of CFD deposition films can be from about 100 Change to 50000 ohm-sqs.In some cases, obtained after CFD layer driving of the part or all of dopant from doping These values.By driving further junction depth (such as by SIMS measurements) caused by dopant to regard feelings from CFD films Condition is modulated onto up to about 1000 angstroms.Certainly, many preceding duct devices need quite shallow junction depth, for example, in about 5-50A model Enclose, this is also that can be realized using CFD films.Actual junction depth can be controlled by many factors, and these factors include For example, interface dopant (for example, boron) concentration, the mobility of the dopant of substrate (for example, silicon) is entered from block and interface, with And for drive the temperature of the annealing of dopant and duration.
CFD doping applications
Substrate surface thereon formed with dielectric active layer may need height conformal deposit.In some examples, dielectric source Film is conformally covered between about 1:0.5 and 1:(more specifically between about 1 between 12:1 and 1:Between 8) depth-to-width ratio spy Sign, and with (more specifically no more than about 30 nanometers) characteristic width no more than about 60 nanometers.Using described herein The dielectric active layer doping of type is by according to 45 nm technology nodes and beyond 45 nanometers of technology node (including 22 nanometer technologies Node, 16 nm technology nodes etc.) formed device in find specific application.
It is conventional doped structure that the device architecture that adulterate of CFD active layer, which can be used, such as CMOS source electrodes and drain electrode, source Gate-drain elongated area, electrode for capacitors in the storage device, grid structure etc..Can adulterate by this way other Structure is nonplanar or three-dimensional structure, the node of source/drain extension such as within the gate structure, these grid structures Grid structure in some three-dimensional gate structures that some devices as manufactured in 22 nm technology nodes use.Some three Tieing up structure can be at " Tri-gate (Intel) ":J.Kavalieros et al, Symp.VLSI Tech Pg 50,2006 and " FinFET:Found in Yamashita et al. (IBM Alliance), VLSI 2011, its is previously passed to be incorporated herein by reference.
The CFD films of doping have various other applications, and such as offer uses etchable in each stage of IC manufacturing Layer.In some embodiments, etchable layer is the glassy layer for having adjustable wet etch rate, wherein the etch-rate It is adjustable by doped level.In other words, the horizontal to provide pre-defined etch-rate of doping is selected.In specific embodiment party In formula, etchable layer is the silicate glass layer containing the dopant such as such as phosphorus, boron or its combination.
CFD adulterates example
The film of CFD boron-doped silicate glass (BSG) is prepared in the three-dimensional grid structure of complexity and realizes the ladder close to 100% Spreadability.Being expected with p-doped silicate glass (PSG) has similar result.In the annealing step of the subsequent conformal/uniformity of offer In rapid process, under the diffusion of dopant, boron or phosphorus can drive to the transverse direction of source electrode and drain junction and indulge from such film To region.Figure 20 shows the Exemplary deposition block diagram for synthesizing CFD BSG/PSG films.The growth circulation bag of CFD oxides Include:(a) SiO of Sa2Precursor (BTBAS), (b) inert gas are removed to rinse out residual precursor material, (c) oxidisability Plasma step, and (d) inert gas are removed, to remove byproduct of reaction.This mechanism ensure that reaction is self limiting, And promote the good conformality kept with these films.In CFD oxide growth process, by the exposing step of boron or phosphorus week Insert to phase property, followed by aspirating and removing sequence, and if desired, optional radio frequency pinning/curing schedule (such as Exposed to plasma).This deposition frame repeats and the number according to the number required for target BSG/PSG thickness as many. Referring to Figure 20.
Although the dopant diffusion length of the frequency modulation(PFM) of insertion boron or phosphorus exposure at a given temperature, the length of exposure Degree controls the dosage of total dopant.The two powerful control parameters provide multi-functional synthetic schemes, to adjust exactly Interface doping concentration.
In an experiment, CFD is verified has the excellent growth characteristics in bsg film.CFD BSG techniques use BTBAS is as silicon source, N2O plasmas are used to aoxidize and 5% diborane (B in argon gas2H6) it is used for boron doping.Argon gas and N2O's Mixture is used as removing gas.Obtain about 1 angstrom/circulation the speed of growth, with undoped with CFD oxides result it is consistent, this Show and do not negatively affect CFD growths comprising boron exposing step.250 angstroms thick of CFD bsg films are such as by SEM photograph institute The conformality of almost Perfect is presented in the different test structures shown.The step coverage of these films is in fine and close and isolated structure It is calculated as(Figure 21).Step coverage is defined as referring to the film thickness of the side wall of feature divided by mutually isostructural top The business of the film thickness in portion.Table 6 show from preliminary research it is different split be partitioned into boron open-assembly time, insert boron frequency and Effect of the growth temperature to the final mean boron concentrations in film.25X CFD Ox refer to that there are 25 CFD in each boron insertion stage Adulterate oxidation cycle.The sample grown is to about 500 angstroms, so whole sequence repeats (to provide 1A/ for CFD oxides about 20 times The growth rate of circulation).These the SIMS data split being such as presented in Fig. 22, show that mean boron concentrations can be in about 0.5-3.5 Adjusted in the range of weight % boron, make the doping option of customization feasible.
Table 6
Mark (Label) Sedimentary condition
CFDS1 400℃/25x CFD Ox+5s B2H6Exposure
CFDS2 400℃/25x CFD Ox+2.5s B2H6Exposure
CFDS3 400℃/50x CFD Ox+5s B2H6Exposure
CFDS4 350℃/25x CFD Ox+5s B2H6Exposure
Device
It is appreciated that any suitable treating stations, can use one or more of example described above.For example, Figure 13 schematically shows the embodiment of CFD treating stations 1300.For the sake of simplicity, CFD treating stations 1300 are described as having There are the independent treating stations for maintaining the chamber body 1302 of environment under low pressure.It is understood, however, that at multiple CFD Reason station 1300 can be included in common lower pressure processing tool environment.Although embodiment depicted in figure 13 is shown One treating stations, it is to be understood that in some embodiments, multiple treating stations may be embodied in handling implement.For example, figure 14 depict the embodiment of multistation handling implement 2400.Furthermore, it is to be understood that in some embodiments, CFD treating stations 1300 One or more hardware parameters including the parameter that those are described in detail below, can be by one or more computer controls Device programming (programmatically) regulation processed.
CFD treating stations 1300 provide reactant delivery system 1301 fluid of the process gas to distribution showerhead 1306 with being used for Connection.Reactant delivery system 1301 includes being used for the mixing for mixing and/or adjusting the process gas that will be transmitted to shower nozzle 1306 Container 1304.One or more stainless steel inlet valves 1320 can control process gas to be incorporated into stainless steel 1304.
Some reactants, such as BTBAS, it can in liquid form store, then vaporize, and be subsequently transported to treating stations.Example Such as, Figure 13 embodiment includes vaporization website (vaporization point) 1303 will be fed into mixing appearance for vaporization The liquid reactants of device 1304.In some embodiments, it can be heated evaporator to vaporize website 1303.From these steamings The reactant vapor of saturation can condense in delivery duct downstream caused by hair device.Reaction of the incompatible gas exposed to condensation Little particle can be produced in thing.These little particles may block pipeline, the operation for hindering valve, pollution substrate etc..Solve these The certain methods of problem include cleaning and/or evacuate the delivery pipe, to remove residual reactant.However, clean conveyance conduit meeting Increase treating stations circulation time, reduce treating stations yield.Therefore, in some embodiments, the downstream conveying of evaporation point 1303 Pipeline is heat tracing (heat traced).In some embodiments, stainless steel 1304 can also be heat tracing.At one In non-limiting example, the conveyance conduit downstream of vaporization website 1303 has elevated Temperature Distribution, from about 100 degrees Celsius of rises To at about 150 degrees Celsius of stainless steel 1304.
In certain embodiments, liquid reactant can vaporize in liquid ejector.For example, liquid ejector can be with Liquid reactant is ejected into the carrier gas stream of stainless steel upstream by the form of pulse.In an arrangement, liquid ejector Can by from higher pressure to relatively low pressure flashed liquid come vaporized reactants.In another scheme, liquid ejector Nebulisable liquid then evaporates into scattered droplet, these droplets in the delivery pipe of heating.It is understood that less liquid Dripping bigger drop can evaporate rapidly, so as to the delay between reducing liquid injection and completely vaporizing.Faster vaporization can be with Reduce the length of the pipeline in vaporization website 1303 downstream.In an arrangement, liquid ejector can be mounted directly to mixing to hold Device 1304.In another scheme, liquid ejector can be mounted directly to shower nozzle 1306.
Shower nozzle 1306 and pedestal 1308 and RF power sources 1314 and the electrical communication of matching network 1316 to plasma to supply Electricity.In some embodiments, control process station pressure, gas concentration, RF source power, RF source frequencies and plasma are passed through One or more of power pulse sequential controls energy of plasma.For example, RF power sources 1314 and matching network 1316 The plasma that there is required Kinds of Free Radicals to combine can be formed with any suitable power operation.Example it is suitable Power includes but is not limited to, for 300 millimeters of chip, the power between 100W and 5000W.Similarly, RF power sources 1314 can provide the RF power of any suitable frequency.In some embodiments, RF power sources 1314 can be configured as controlling Make separate high and low frequency RF power sources.The low frequency RF frequency of example may include but be not limited to, between 50 kilo hertzs and 500 Frequency between kilo hertz.The high frequency RF frequency of example may include but be not limited to, the frequency between 1.8MHz and 2.45GHz. It is understood that can discretely or continuously adjust any suitable parameter is used for surface to provide energy of plasma Reaction., can in a pulsed fashion intermittently compared to the plasma for continuously being provided power in a nonrestrictive example Property plasma power is provided, to reduce to the Ions Bombardment of substrate surface.
In some embodiments, plasma can be monitored in the original location by one or more plasma monitors.One In individual scheme, plasma power can be monitored by one or more voltages, current sensor (for example, VI probes).Another In individual scheme, the concentration of plasma density and/or process gas can be by one or more optical emission spectroscopy sensors (OES) Measurement.In some embodiments, according to the measured value from this in-situ plasma monitor, to one or more plasmas Body parameter carries out sequencing (programmatic) regulation.For example, OES sensor can use in the feedback loop, for providing The Programmed control of plasma power.It is understood that in some embodiments, other monitors can be used for supervising Survey plasma and other technology characteristics.These monitors can include but is not limited to, infrared (IR) monitor, acoustics monitoring Device and pressure sensor.
In some embodiments, pedestal 1308 can control temperature by heater 1310.In addition, in some embodiments In, the pressure control to CFD treating stations 1300 can be provided by butterfly valve 1318.As shown in figure 13, butterfly valve 1318 is adjusted The vacuum provided by downstream vacuum pump (not shown).However, in some embodiments, the pressure control for the treatment of stations 1300 may be used also To be adjusted by changing the flow rate for the one or more kinds of gases for importeding into CFD treating stations 1300.
As described above, multistation handling implement can include one or more treating stations.Figure 14 shows exemplary multistation The schematic diagram of operation instrument 2400, the multistation operation instrument 2400 have interior load lock 2402 and outer load lock 2404, these dresses Carry any one of lock or both and may comprise remote plasma source.Under atmospheric pressure, manipulator 2406 is configured as brilliant Piece moves into interior load lock 2402 from the box loaded by gondola (pod) 2408 via air port 2410.Pass through manipulator 2406 Chip is placed on the pedestal 2412 in interior load lock 2402, air port 2410 is closed, and load lock evacuates.When interior loading When lock 2402 includes remote plasma source, chip can be exposed to long-range in load lock before process chamber 2414 is imported into Corona treatment.In addition, for example, it is also possible to chip is heated in load lock 2402, to remove moisture and adsorbed gas.Connect , the room delivery port 2416 to process chamber 2414 is opened, and another manipulator (not shown) is placed into chip instead Answer and be used to handle on the pedestal of first stop shown in the reactor in device.Although the embodiment shown in Figure 14 includes loading Lock, it is single it is understood that in some embodiments, can set makes chip enter directly into treating stations.
Described process chamber 2414 includes four treating stations, in the embodiment shown in Figure 14, numbers from 1 to 4.Often Individual stand has the pedestal of heating (with 2418 displays, for standing 1), and gas line entrance.It is understood that in some implementations In mode, each treating stations can have different purposes or multiple purposes.For example, in some embodiments, treating stations can be with Switch between CFD technology patterns and pecvd process pattern.Additionally or alternatively, in some embodiments, process chamber 2414 can include CFD the and PECVD treating stations of one or more pairings.Although the process chamber 2414 shown includes four stations, But it is understood that any appropriate number of station can be had by disclosing described process chamber according to the present invention.For example, in some realities Apply in mode, process chamber can have five or more stations, and in other embodiments process chamber can have three or Less station.
Figure 14 further depict the embodiment of the wafer processing process 2490 of conveying chip in process chamber 2414.At some In embodiment, wafer processing process 2490 can convey between various treating stations and/or between treating stations and load lock Chip.It is understood that any appropriate wafer processing process can be used.Non-limiting example includes chip rotating disk and crystalline substance Piece handles manipulator.Figure 14 also describes the system controller 2450 of example, and it is used for the processing bar of control process instrument 2400 Part and hardware state.System controller 2450 may include one or more storage devices 2456, one or more massive stores Equipment 2454 and one or more processors 2452.Processor 2452 can include CPU or computer, analog and/or digital are defeated Enter/out splice going splice, controllor for step-by-step motor plate, etc..
In some embodiments, all activities of the control process instrument 2400 of system controller 2450.System controller 2450 perform and are stored in mass-memory unit 2454, being loaded into storage device 2456 and are performed on processor 2452 System controlling software 2458.System controlling software 2458 may include for controlling timing, gas mixing, room and/or the pressure at station By force, the temperature at room and/or station, chip temperature, target power level, RF power levels, substrate pedestal, chuck and/or susceptor (susceptor) other specification of position and the special process performed by handling implement 2400.System controlling software 2458 can be with Configure in any suitable manner.For example, subprogram or the control object that can write various handling implement components are located with controlling Manage necessary to tool assembly performs the processing of various handling implements and operate.Can be with any suitable computer-readable programming Speech encoding system controlling software 2458.
In some embodiments, system controlling software 2458 may include input/output control (IOC) ordering instruction, its For controlling various parameters described above.For example, each stage of CFD techniques can include being used to be held by system controller 2450 Capable one or more instructions.Corresponding CFD formulation stages can include the finger for being used to set the treatment conditions of CFD operation stages Order.In some embodiments, CFD formulation stages can be arranged in order, so that all instructions for CFD operation stages It is synchronous with the processing stage to perform.
It can use in some embodiments and be stored in the mass-memory unit associated with system controller 2450 2454 and/or other computer softwares and/or program in storage device 2456.Exemplary process or part for this purposes Program includes substrate positioning program, process gas control program, pressure control program, heater control program and plasma control Processing procedure sequence.
Substrate positioning program can include the program code for handling implement component, and the handling implement component is used for will lining Bottom is loaded into pedestal 2418 and controls the spacing between substrate and the miscellaneous part of handling implement 2400.
Process gas control program can include code, and the code is used to control gas componant and flow rate, and alternatively uses In making gas flow into one or more treating stations before the deposition in order to stabilize pressure in treating stations.Pressure control program can Including by adjusting such as the choke valve in the exhaust system for the treatment of stations and into the air-flow for the treatment of stations come in control process station Pressure.
Heater control program can include being used for the code for controlling heating unit electric current, and the heating unit, which is used to heat, to be served as a contrast Bottom.Alternatively, heater control program can control conveying of the heated conveying gas (such as helium) to substrate.
Plasma control program can include code, and it is used to set the place being applied in one or more treating stations Manage the RF power levels of electrode.
In some embodiments, there can be the user interface related to system controller 2450.The user interface can be with Graphics software including display screen, equipment and/or treatment conditions is shown and user input apparatus, for example, pointing device, keyboard, Touch-screen, microphone, etc..
In some embodiments, the parameter adjusted by system controller 2450 can relate to treatment conditions.It is non-limiting to show Example includes the composition and flow rate, temperature, pressure of process gas, condition (such as RF bias power levels), pressure, the temperature of plasma Degree, etc..These parameters can be supplied to user in the form of formula, and formula can utilize user interface to input.
For monitor processing signal can from various handling implement sensors by the simulation of system controller 2450 and/ Or numeral input joint provides.For control process signal can handling implement 2400 analog- and digital- out splice going splice it is defeated Go out.The non-limiting example for the handling implement sensor that can be monitored includes mass flow controller, pressure sensor (such as pressure Meter), thermocouple, etc..Suitably the feedback of sequencing and control algolithm can make together with from the data of these sensors With to maintain process conditions.
System controller 2450 can provide for realizing the programmed instruction of above-mentioned deposition process.The programmed instruction can be controlled Make various technological parameters, for example, DC power levels, RF bias power levels, pressure, temperature, etc..These instructions can basis Various embodiments described herein, control the parameter of the in-situ deposition for operating membrane stack.
Described apparatus/method can be combined with lithographic patterning instrument or method herein above, for example, for half The manufacture and production of conductor device, display, LED, photovoltaic panel etc..Generally, but be not must, such instrument/method will It is used together or operates with common manufacturing facility.The lithographic patterning of film generally includes part or all of following operation, often One operates with some possible instrument start-ups:(1) photoresist is applied on workpiece (that is, substrate) using spin coating or Spray painting tool Agent;(2) using hot plate or stove or UV tools of solidifying solidification photoresist;(3) chip step-by-step exposure machine (wafer is used Instrument exposure under visible ray or ultraviolet or X-ray by photoresist such as stepper);(4) such as wet is used The instruments such as platform (wet bench), develop to photoresist, optionally to remove resist, so as to carry out pattern Change;(5) by using dry method or plasmaassisted etch tool, Resist patterns (resist pattern) is transferred to base On counterdie or workpiece;(6) such as RF or microwave plasma resist stripping machine (microwave plasma is used Resist stripper) etc. instrument, remove resist.
It should be understood that configuration described herein and/or method, inherently exemplary, and these are special Fixed embodiment or embodiment is not taken in a limiting sense, because many changes are possible.Spy described herein Fixed routine or method can represent one or more of any amount of processing strategy.Therefore, various operations can be with shown Sequence, with other sequences, concurrently or in some cases delete to perform.It is also possible to change above-mentioned processing Sequentially.
The theme of the disclosure includes various processing disclosed herein, system and device and further feature, function, behaviour Work, and/or all novel and non-obvious combination of characteristic and sub-portfolio, and any all equivalents.

Claims (10)

1. the method for deposition film, methods described include on a kind of substrate surface of non-flat forms in the reaction chamber:
The first reactant is introduced into the reative cell under the conditions of non-plasma so that the first reactant absorption is described On the substrate surface of non-flat forms;
The material containing dopant is introduced into the reative cell under the conditions of non-plasma;And
It is then that the substrate surface of the non-flat forms is conformal with the substrate surface of the non-flat forms to be formed exposed to plasma Doping.
2. according to the method for claim 1, wherein first reactant is siliceous reactant.
3. according to the method for claim 1, wherein the dopant is selected from boron, phosphorus, arsenic and gallium.
4. according to the method for claim 1, it is additionally included in is exposed to plasma by the substrate surface of the non-flat forms Before, the second reactant is introduced into the reative cell.
5. according to the method for claim 1, wherein second reactant is oxidant.
6. according to the method for claim 1, wherein second reactant is to contain nitrogen reactant.
7. according to the method for claim 5, wherein the doping is the film of doped silicon oxide.
8. according to the method for claim 6, wherein the doping is the film of doped silicon nitride.
9. according to the method for claim 1, wherein the doping is the film of doped silicon carbide.
10. according to the method for claim 1, it is additionally included in the lining that first reactant is adsorbed onto to the non-flat forms While on basal surface, the second reactant is introduced into the reative cell.
CN201710347032.2A 2011-09-23 2012-08-29 Plasma activated conformal dielectric film deposition Active CN107342216B (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US13/242,084 US8637411B2 (en) 2010-04-15 2011-09-23 Plasma activated conformal dielectric film deposition
US13/242,084 2011-09-23
CN201280046487.6A CN103890910B (en) 2011-09-23 2012-08-29 Method and device for plasma activated conformal dielectric film deposition
PCT/US2012/052769 WO2013043330A1 (en) 2011-09-23 2012-08-29 Plasma activated conformal dielectric film deposition

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN201280046487.6A Division CN103890910B (en) 2011-09-23 2012-08-29 Method and device for plasma activated conformal dielectric film deposition

Publications (2)

Publication Number Publication Date
CN107342216A true CN107342216A (en) 2017-11-10
CN107342216B CN107342216B (en) 2022-05-31

Family

ID=47914754

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201280046487.6A Active CN103890910B (en) 2011-09-23 2012-08-29 Method and device for plasma activated conformal dielectric film deposition
CN201710347032.2A Active CN107342216B (en) 2011-09-23 2012-08-29 Plasma activated conformal dielectric film deposition

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN201280046487.6A Active CN103890910B (en) 2011-09-23 2012-08-29 Method and device for plasma activated conformal dielectric film deposition

Country Status (6)

Country Link
JP (2) JP6199292B2 (en)
KR (2) KR102084901B1 (en)
CN (2) CN103890910B (en)
SG (2) SG10201607194PA (en)
TW (3) TWI682459B (en)
WO (1) WO2013043330A1 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112442680A (en) * 2019-08-30 2021-03-05 东京毅力科创株式会社 Film forming apparatus and film forming method
CN112513320A (en) * 2018-08-02 2021-03-16 盖列斯特科技股份有限公司 Thin film deposition process by controlling gas phase transient species formation
CN113557589A (en) * 2019-03-20 2021-10-26 应用材料公司 Method for growing thick oxide films at low temperature of thermal oxide quality

Families Citing this family (336)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9355839B2 (en) 2012-10-23 2016-05-31 Lam Research Corporation Sub-saturated atomic layer deposition and conformal film deposition
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
JP6538300B2 (en) 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated Method for depositing a film on a sensitive substrate
CN105143503A (en) * 2012-12-21 2015-12-09 普拉萨德·纳哈·加吉尔 Methods of low temperature deposition of ceramic thin films
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9620502B2 (en) * 2013-04-10 2017-04-11 Samsung Electronics Co., Ltd. Semiconductor device including an extended impurity region
JP5998101B2 (en) 2013-05-24 2016-09-28 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing apparatus, and program
US9606519B2 (en) 2013-10-14 2017-03-28 Applied Materials, Inc. Matching process controllers for improved matching of process
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9685325B2 (en) * 2014-07-19 2017-06-20 Applied Materials, Inc. Carbon and/or nitrogen incorporation in silicon based films using silicon precursors with organic co-reactants by PE-ALD
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9214333B1 (en) * 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US10242848B2 (en) * 2014-12-12 2019-03-26 Lam Research Corporation Carrier ring structure and chamber systems including the same
US10100407B2 (en) * 2014-12-19 2018-10-16 Lam Research Corporation Hardware and process for film uniformity improvement
FI126970B (en) * 2014-12-22 2017-08-31 Picosun Oy Atomic deposit where the first and second starting species are present at the same time
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
KR102317440B1 (en) * 2015-05-27 2021-10-26 주성엔지니어링(주) Method for manufacturing of semiconductor device
US9406544B1 (en) * 2015-06-12 2016-08-02 Lam Research Corporation Systems and methods for eliminating seams in atomic layer deposition of silicon dioxide film in gap fill applications
JP6959147B2 (en) * 2015-06-16 2021-11-02 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー Halide silane compounds and compositions and the process for depositing silicon-containing films using them
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US9721887B2 (en) * 2015-08-19 2017-08-01 Taiwan Semiconductor Manufacturing Company, Ltd Method of forming metal interconnection
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US9909214B2 (en) * 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
JP6509095B2 (en) * 2015-11-04 2019-05-08 東京エレクトロン株式会社 Method of forming nitride film
US9786492B2 (en) * 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9997351B2 (en) * 2015-12-08 2018-06-12 Varian Semiconductor Equipment Associates, Inc. Apparatus and techniques for filling a cavity using angled ion beam
US9627221B1 (en) * 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
JP6946320B2 (en) * 2016-03-13 2021-10-06 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Selective deposition of silicon nitride film for spacers
JP6540571B2 (en) * 2016-03-24 2019-07-10 豊田合成株式会社 Semiconductor device manufacturing method and semiconductor device
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102378021B1 (en) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. Formation of SiOC thin films
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US9725302B1 (en) * 2016-08-25 2017-08-08 Applied Materials, Inc. Wafer processing equipment having exposable sensing layers
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US9865455B1 (en) 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US9768034B1 (en) * 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
KR102241937B1 (en) * 2016-11-25 2021-04-20 주식회사 원익아이피에스 Method for filling gap of the semiconductor device
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR102700194B1 (en) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6857522B2 (en) * 2017-03-17 2021-04-14 株式会社日本製鋼所 Film formation method, manufacturing method of electronic equipment, and mask holder
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
JP7249952B2 (en) 2017-05-05 2023-03-31 エーエスエム アイピー ホールディング ビー.ブイ. Plasma-enhanced deposition process for controlled formation of oxygen-containing thin films
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10516100B2 (en) * 2017-06-12 2019-12-24 Taiwan Semiconductor Manufacturing Company, Ltd. Silicon oxynitride based encapsulation layer for magnetic tunnel junctions
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
JP6869141B2 (en) * 2017-08-09 2021-05-12 東京エレクトロン株式会社 Silicon nitride film deposition method and film deposition equipment
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR102401446B1 (en) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269559B2 (en) * 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
KR102470206B1 (en) * 2017-10-13 2022-11-23 삼성디스플레이 주식회사 Manufacturing method for metal oxide and display device comprising the metal oxide
US20190119815A1 (en) * 2017-10-24 2019-04-25 Applied Materials, Inc. Systems and processes for plasma filtering
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (en) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. Equipment with a clean mini-environment
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
KR20200086750A (en) 2017-12-07 2020-07-17 램 리써치 코포레이션 Conditioning the oxidation-resistant protective layer in the chamber
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR102695659B1 (en) 2018-01-19 2024-08-14 에이에스엠 아이피 홀딩 비.브이. Method for depositing a gap filling layer by plasma assisted deposition
TWI799494B (en) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 Deposition method
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10975470B2 (en) * 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11404275B2 (en) 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
TWI843623B (en) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TW202349473A (en) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TWI840362B (en) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TW202409324A (en) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 Cyclic deposition processes for forming metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR102686758B1 (en) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US20200003937A1 (en) * 2018-06-29 2020-01-02 Applied Materials, Inc. Using flowable cvd to gap fill micro/nano structures for optical components
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
TWI728456B (en) 2018-09-11 2021-05-21 荷蘭商Asm Ip私人控股有限公司 Thin film deposition method with respect to substrate
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US20210398796A1 (en) * 2018-10-03 2021-12-23 Versum Materials Us, Llc Methods for making silicon and nitrogen containing films
KR20210070318A (en) 2018-10-04 2021-06-14 가부시키가이샤 아데카 Raw material for thin film formation for atomic layer deposition method, raw material for thin film formation, thin film manufacturing method and compound
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (en) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー Method and system for forming device structures using selective deposition of gallium nitride - Patents.com
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
TW202044325A (en) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 Method of filling a recess formed within a surface of a substrate, semiconductor structure formed according to the method, and semiconductor processing apparatus
TWI845607B (en) 2019-02-20 2024-06-21 荷蘭商Asm Ip私人控股有限公司 Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
TWI838458B (en) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for plug fill deposition in 3-d nand applications
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
TWI842826B (en) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
KR20200116033A (en) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
KR20200123380A (en) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20210150606A (en) 2019-05-01 2021-12-10 램 리써치 코포레이션 Modulated Atomic Layer Deposition
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
JP2020188254A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Method of using a gas-phase reactor system including analyzing exhausted gas
US11289369B2 (en) * 2019-06-08 2022-03-29 Applied Materials, Inc. Low-k dielectric with self-forming barrier layer
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP7499079B2 (en) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー Plasma device using coaxial waveguide and substrate processing method
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (en) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 Method of forming topology-controlled amorphous carbon polymer film
CN112309843A (en) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 Selective deposition method for achieving high dopant doping
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (en) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 Liquid level sensor for chemical source container
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
CN112635282A (en) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 Substrate processing apparatus having connection plate and substrate processing method
KR20210042810A (en) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. Reactor system including a gas distribution assembly for use with activated species and method of using same
JP7257930B2 (en) * 2019-10-08 2023-04-14 東京エレクトロン株式会社 Substrate processing method and substrate processing apparatus
KR20210043460A (en) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. Method of forming a photoresist underlayer and structure including same
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (en) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
KR20210050453A (en) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
KR20210065848A (en) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. Methods for selectivley forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP7527928B2 (en) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
TW202125596A (en) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (en) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. Methods for filling a gap feature on a substrate and related semiconductor structures
TW202140135A (en) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 Gas supply assembly and valve plate assembly
JP2021111783A (en) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー Channeled lift pin
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (en) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
TW202146882A (en) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method of verifying an article, apparatus for verifying an article, and system for verifying a reaction chamber
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (en) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 System dedicated for parts cleaning
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
KR20210116249A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. lockout tagout assembly and system and method of using same
CN113394086A (en) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 Method for producing a layer structure having a target topological profile
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
KR20210128343A (en) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. Method of forming chromium nitride layer and structure including the chromium nitride layer
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (en) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Vertical batch furnace assembly, and method for cooling vertical batch furnace
KR20210132576A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Method of forming vanadium nitride-containing layer and structure comprising the same
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
KR20210134226A (en) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. Solid source precursor vessel
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
TW202146699A (en) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method of forming a silicon germanium layer, semiconductor structure, semiconductor device, method of forming a deposition layer, and deposition system
TW202147383A (en) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202200837A (en) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Reaction system for forming thin film on substrate
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
TW202202649A (en) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
KR20220010438A (en) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
KR20220012474A (en) 2020-07-22 2022-02-04 주식회사 원익아이피에스 Method of Depositing Thin Film and Method of Manufacturing Semiconductor device Using The Same
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (en) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. Method and system for forming metal silicon oxide and metal silicon oxynitride
US20240038529A1 (en) * 2020-08-26 2024-02-01 Semiconductor Energy Laboratory Co., Ltd. Deposition method of metal oxide and manufacturing method of memory device
TW202229601A (en) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of forming patterned structures, method of manipulating mechanical property, device structure, and substrate processing system
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (en) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 Gas supply unit and substrate processing apparatus including the same
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
TW202217037A (en) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
TW202235649A (en) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 Methods for filling a gap and related systems and devices
KR20220076343A (en) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. an injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
JP2023132258A (en) * 2022-03-10 2023-09-22 東京エレクトロン株式会社 Embedding method and substrate processing device
JP7460676B2 (en) * 2022-03-24 2024-04-02 株式会社Kokusai Electric Substrate processing method, semiconductor device manufacturing method, substrate processing apparatus, and program

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1768158A (en) * 2003-02-04 2006-05-03 泰格尔公司 Nanolayer deposition process
CN1926668A (en) * 2004-05-21 2007-03-07 应用材料股份有限公司 Formation of a silicon oxynitride layer on a high-K dielectric material
US20090065896A1 (en) * 2007-09-07 2009-03-12 Seoul National University Industry Foundation CAPACITOR HAVING Ru ELECTRODE AND TiO2 DIELECTRIC LAYER FOR SEMICONDUCTOR DEVICE AND METHOD OF FABRICATING THE SAME
CN101416293A (en) * 2006-03-31 2009-04-22 应用材料股份有限公司 Method to improve the step coverage and pattern loading for dielectric films
US20090148625A1 (en) * 2005-02-16 2009-06-11 Hynix Semiconductor Inc. Method for forming thin film
CN101535524A (en) * 2005-11-18 2009-09-16 东京毅力科创株式会社 Method and system for performing plasma enhanced atomic layer deposition

Family Cites Families (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS4843472B1 (en) * 1968-05-09 1973-12-19
JPH0293071A (en) * 1988-09-29 1990-04-03 Toshiba Corp Thin film formation
JPH06177120A (en) * 1992-10-27 1994-06-24 Sony Corp Deposition of interlayer dielectric film
US6156149A (en) * 1997-05-07 2000-12-05 Applied Materials, Inc. In situ deposition of a dielectric oxide layer and anti-reflective coating
US5994209A (en) * 1996-11-13 1999-11-30 Applied Materials, Inc. Methods and apparatus for forming ultra-shallow doped regions using doped silicon oxide films
JP3437832B2 (en) * 2000-03-22 2003-08-18 東京エレクトロン株式会社 Film forming method and film forming apparatus
KR100721503B1 (en) * 2000-06-08 2007-05-23 에이에스엠지니텍코리아 주식회사 Method for forming a thin film
JP2002134497A (en) * 2000-10-23 2002-05-10 Sony Corp Manufacturing method for semiconductor device
JP3437830B2 (en) * 2000-11-28 2003-08-18 東京エレクトロン株式会社 Film formation method
US7125815B2 (en) * 2003-07-07 2006-10-24 Micron Technology, Inc. Methods of forming a phosphorous doped silicon dioxide comprising layer
US7482247B1 (en) * 2004-12-30 2009-01-27 Novellus Systems, Inc. Conformal nanolaminate dielectric deposition and etch bag gap fill process
CN101032006A (en) * 2005-02-17 2007-09-05 株式会社日立国际电气 Production method for semiconductor device and substrate processing device
US7629267B2 (en) * 2005-03-07 2009-12-08 Asm International N.V. High stress nitride film and method for formation thereof
CN100554506C (en) * 2005-03-09 2009-10-28 东京毅力科创株式会社 Film that semiconductor processes is used and device
JP2007019145A (en) * 2005-07-06 2007-01-25 Tokyo Electron Ltd Method of forming silicon oxynitride film, device of forming same and program
CN101288162B (en) * 2005-10-14 2010-06-09 日本电气株式会社 Method and apparatus for manufacturing semiconductor device
JP2007180362A (en) * 2005-12-28 2007-07-12 Toshiba Corp Semiconductor device
JP4434149B2 (en) * 2006-01-16 2010-03-17 東京エレクトロン株式会社 Film forming method, film forming apparatus, and storage medium
US7601651B2 (en) * 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
JP2007287890A (en) * 2006-04-14 2007-11-01 Kochi Univ Of Technology Forming method of insulating film, manufacturing method of semiconductor device and plasma cvd apparatus
JP2007287889A (en) * 2006-04-14 2007-11-01 Kochi Univ Of Technology Forming method of insulating film and manufacturing method of semiconductor device
US7498273B2 (en) * 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US20090324971A1 (en) * 2006-06-16 2009-12-31 Fujifilm Manufacturing Europe B.V. Method and apparatus for atomic layer deposition using an atmospheric pressure glow discharge plasma
JP4929932B2 (en) * 2006-09-01 2012-05-09 東京エレクトロン株式会社 Film forming method, film forming apparatus, and storage medium
JP5258229B2 (en) * 2006-09-28 2013-08-07 東京エレクトロン株式会社 Film forming method and film forming apparatus
JP2008294260A (en) * 2007-05-25 2008-12-04 Sony Corp Semiconductor device and manufacturing method therefor, and laminate insulating film and forming method therefor
US20090075490A1 (en) 2007-09-18 2009-03-19 L'air Liquite Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of forming silicon-containing films
JP4959733B2 (en) 2008-02-01 2012-06-27 東京エレクトロン株式会社 Thin film forming method, thin film forming apparatus, and program
US20090203197A1 (en) * 2008-02-08 2009-08-13 Hiroji Hanawa Novel method for conformal plasma immersed ion implantation assisted by atomic layer deposition
US8197915B2 (en) * 2009-04-01 2012-06-12 Asm Japan K.K. Method of depositing silicon oxide film by plasma enhanced atomic layer deposition at low temperature
JP2010251654A (en) * 2009-04-20 2010-11-04 Elpida Memory Inc Deposition method and manufacturing method of semiconductor device
JP2011023576A (en) * 2009-07-16 2011-02-03 Hitachi Kokusai Electric Inc Method of manufacturing semiconductor device, and device for treating substrate
KR101732187B1 (en) * 2009-09-03 2017-05-02 에이에스엠 저펜 가부시기가이샤 METHOD OF FORMING CONFORMAL DIELECTRIC FILM HAVING Si-N BONDS BY PECVD
US9611544B2 (en) * 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1768158A (en) * 2003-02-04 2006-05-03 泰格尔公司 Nanolayer deposition process
CN1926668A (en) * 2004-05-21 2007-03-07 应用材料股份有限公司 Formation of a silicon oxynitride layer on a high-K dielectric material
US20090148625A1 (en) * 2005-02-16 2009-06-11 Hynix Semiconductor Inc. Method for forming thin film
CN101535524A (en) * 2005-11-18 2009-09-16 东京毅力科创株式会社 Method and system for performing plasma enhanced atomic layer deposition
CN101416293A (en) * 2006-03-31 2009-04-22 应用材料股份有限公司 Method to improve the step coverage and pattern loading for dielectric films
US20090065896A1 (en) * 2007-09-07 2009-03-12 Seoul National University Industry Foundation CAPACITOR HAVING Ru ELECTRODE AND TiO2 DIELECTRIC LAYER FOR SEMICONDUCTOR DEVICE AND METHOD OF FABRICATING THE SAME

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112513320A (en) * 2018-08-02 2021-03-16 盖列斯特科技股份有限公司 Thin film deposition process by controlling gas phase transient species formation
CN113557589A (en) * 2019-03-20 2021-10-26 应用材料公司 Method for growing thick oxide films at low temperature of thermal oxide quality
CN112442680A (en) * 2019-08-30 2021-03-05 东京毅力科创株式会社 Film forming apparatus and film forming method

Also Published As

Publication number Publication date
CN103890910B (en) 2017-05-17
KR20190049906A (en) 2019-05-09
KR101975071B1 (en) 2019-05-03
TWI682459B (en) 2020-01-11
KR102084901B1 (en) 2020-03-05
TW201735162A (en) 2017-10-01
TWI531001B (en) 2016-04-21
JP2018011067A (en) 2018-01-18
KR20140079431A (en) 2014-06-26
TW201616576A (en) 2016-05-01
JP2014532304A (en) 2014-12-04
CN103890910A (en) 2014-06-25
TWI602245B (en) 2017-10-11
CN107342216B (en) 2022-05-31
JP6199292B2 (en) 2017-09-20
SG10201607194PA (en) 2016-10-28
WO2013043330A1 (en) 2013-03-28
TW201330096A (en) 2013-07-16
SG11201400633RA (en) 2014-08-28

Similar Documents

Publication Publication Date Title
CN103890910B (en) Method and device for plasma activated conformal dielectric film deposition
CN103975419B (en) Plasma activated conformal dielectric film deposition
US10043655B2 (en) Plasma activated conformal dielectric film deposition
CN103243310B (en) Method for plasma activated conformal film deposition on substrate surface
US10804099B2 (en) Selective inhibition in atomic layer deposition of silicon-containing films
US20180323057A1 (en) Selective atomic layer deposition with post-dose treatment
KR101762978B1 (en) Plasma activated conformal film deposition
KR102031377B1 (en) Precursors for plasma activated conformal film deposition
CN107680903A (en) Doping ALD film for semiconductor patterning application
US20230307290A1 (en) Reducing intralevel capacitance in semiconductor devices

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant