CN101416293A - Method to improve the step coverage and pattern loading for dielectric films - Google Patents

Method to improve the step coverage and pattern loading for dielectric films Download PDF

Info

Publication number
CN101416293A
CN101416293A CNA2007800121574A CN200780012157A CN101416293A CN 101416293 A CN101416293 A CN 101416293A CN A2007800121574 A CNA2007800121574 A CN A2007800121574A CN 200780012157 A CN200780012157 A CN 200780012157A CN 101416293 A CN101416293 A CN 101416293A
Authority
CN
China
Prior art keywords
dielectric layer
plasma
silicon
rete
reative cell
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2007800121574A
Other languages
Chinese (zh)
Other versions
CN101416293B (en
Inventor
M·巴尔塞努
夏立群
石美仪
H·M'沙德
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US11/668,911 external-priority patent/US7601651B2/en
Priority claimed from US11/693,005 external-priority patent/US7780865B2/en
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN101416293A publication Critical patent/CN101416293A/en
Application granted granted Critical
Publication of CN101416293B publication Critical patent/CN101416293B/en
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

Methods of controlling the step coverage and pattern loading of a layer on a substrate are provided. In one aspect, a method includes exposing the substrate to a silicon-containing precursor in the presence of a plasma to deposit a layer, treating the deposited layer with a plasma, and repeating the exposing and treating until a desired thickness of the layer is obtained. The plasma may be generated from an oxygen-containing gas. In another aspect, a method comprises depositing a dielectric layer on a substrate having at least one formed feature across a surface of the substrate and etching the dielectric layer with a plasma from an oxygen or a halogen-containing gas to provide a desired profile of the dielectric layer on the feature. The deposition and etching may be repeated for multiple cycles to provide the desired profile.

Description

The ladder that is used for dielectric film layer covers with pattern and loads
Technical field
Embodiments of the invention are haply relevant for the method and apparatus of semiconductor processes.Clearer and more definite, the embodiment of the invention relates to the method and apparatus of the conformal dielectric film layer of deposition.
Background technology
Chemical reaction by several species of gasses forms one of them step in the key step that dielectric layer is contemporary fabrication of semiconductor device on a substrate.These deposition processes comprise chemical vapor deposition (CVD) and plasma enhanced chemical vapor deposition (PECVD), and wherein plasma enhanced chemical vapor deposition is the deposition processes of binding plasma and traditional C VD technology.
CVD and PECVD dielectric layer can be as the different retes in the semiconductor device.For example, these dielectric layers can be as the interlayer dielectric layer between lead in the device or the interconnection.Perhaps, these dielectric layers can be as barrier layer, etch stop layer or clearance wall and other rete.
Being used for dielectric layer as barrier layer and clearance wall is deposited on the feature in the substrate of patterning usually, for example is deposited on the features such as the horizontal interconnect that is used for forming follow-up circuit, perpendicular interconnection (through hole), stack layer.The preferably, deposition processes provides a conformal rete (conformal layer).Yet conformal deposited normally is difficult to reach.
For example, barrier layer deposition is difficult to not produce situations such as blemish or feature distortion on feature the time.In the process of deposition, barrier layer materials may form projection (overloaf), and just the shoulder at through hole deposits too much material but at the very few material of the bottom deposit of through hole, and forms the projection shape moulding that appears to the bread side.This kind phenomenon is also because the profile of this via bottoms looks like pin and is called as footing phenomenon (footing).In extreme example, the shoulder of through hole can close up and engage sealing surfaces in the top of through hole formation one.When the thicknesses of layers on the entire wafer is inhomogeneous, may cause harmful effect to the drive current character of each device.Only adjust processing parameter and there is no the problem that method is improved ladder covering and pattern loading significantly.
Deposition will also be a kind of challenge in the follow-up conformal rete that is used for forming clearance wall on stack layer.Deposit method though developed at present use conventional high-temperature low pressure chemical vapor deposition, may make the geometry of semiconductor device continue contraction yet the heat budget of this type of technology is too high as silicon nitride and the silicon oxide layer of clearance wall.Though the PECVD of silicon nitride handles and can carry out under lower temperature, however resulting ladder cover with the pattern loading result can't be satisfactory as utilizing as the formed result of high-temperature low-pressure CVD method.
Therefore, need a kind of method that can in patterned substrate, deposit conformal rete on the established feature at present.
Summary of the invention
The embodiment of the invention provides a kind of method that forms dielectric film layer on substrate, and this method comprises the steps: that the substrate that will have at least one established feature on the surface is positioned in the reative cell; Deposit a dielectric layer; With this dielectric layer of plasma treatment; Measure the thickness of this dielectric layer; And the step that repeats above-mentioned dielectric layer, with this dielectric layer of plasma treatment and judge this medium thickness.
In one embodiment, provide a kind of method that on the inherent patterned substrate of a reative cell, forms a rete.This method comprises makes this patterned substrate be exposed in the siliceous predecessor (octamethylcy-clotetrasiloxane for example under the situation that has a plasma, octamethylcyclotetrasiloxane) with deposition one rete on this patterned substrate, and after waiting to deposit this rete, utilize by handling this rete such as the formed plasma of oxygen-containing gass such as oxygen.Repeat this exposing step and treatment step till obtaining desired film thickness.This rete may be the silicon oxide layer of an one silica layer or a doping carbon.
In another embodiment, in a reative cell, comprise that in the method that forms a rete on the patterned substrate this patterned substrate is being had under the situation of a plasma to be exposed in the silicon-containing precursor with deposition one rete on this patterned substrate, and after waiting to deposit this rete, utilization is handled this rete by the formed plasma of a nitrogenous gas, and repeats this exposing step and treatment step till obtaining desired film thickness.
The embodiment of the invention also provides the ladder of rete on a kind of control basal plate to cover the method that loads with pattern.In one embodiment, this method comprises and being positioned in the reative cell having at least one substrate that has formed feature on the substrate surface; One dielectric layer deposition is on this substrate, and use and come this dielectric layer of etching by containing the formed plasma of oxygen or halogen-containing gas, to provide the dielectric layer with desired profile at this at least one established feature, wherein this halogen-containing gas is selected from by in fluorine, chlorine, bromine and the group that combination constituted thereof.
In another embodiment, this method comprises and being positioned in the reative cell having at least one substrate that has formed feature on the substrate surface, and deposits a dielectric layer on this substrate.This feature comprises an end face, a side and a bottom surface.This dielectric layer deposition is thick at the thickness that the thickness on the end face is deposited on bottom surface and the side than it.Utilize subsequently by containing the formed plasma of oxygen or halogen-containing gas and come this dielectric layer of etching, wherein this halogen-containing gas is selected from the group that is made of fluorine, chlorine, bromine and composition thereof.Etch-rate on this dielectric layer end face is higher than the etch-rate on this dielectric layer bottom surface and the side.Carry out the dielectric layer deposition and the etching step of one or many, so that at least one has formed the dielectric layer with desired profile is provided on the feature at this.
In embodiment further, this method comprises and being positioned in the reative cell having at least one substrate that has formed feature on the substrate surface, and deposits a silicon nitride dielectric layer on this substrate.This feature comprises an end face, a side and a bottom surface.The thickness that this silicon nitride dielectric layer is deposited on the end face is thicker than the thickness that it is deposited on bottom surface and the side.Utilize Nitrogen trifluoride (NF subsequently 3) this dielectric layer of plasma etching, and this NF 3Plasma is higher than its etch-rate on bottom surface and side at the etch-rate on the end face, so that at least one has formed the silicon nitride dielectric layer with desired profile is provided on the feature at this.Can carry out the silicon nitride dielectric layer deposition and the etching step of one or many, so that desired profile to be provided.
Description of drawings
For can the above-mentioned feature of detail knowledge the present invention, can be illustrated in embodiment in the accompanying drawing with reference to part and read and put further narrating content in order in the present invention of top.What need to understand is, appended graphic what illustrate only is representative embodiment of the present invention, therefore should not be used for limiting the scope of the invention, and the present invention also allows other equivalent embodiment.
Fig. 1 is the flow chart of a deposition processes embodiment;
Fig. 2 is the flow chart of the another embodiment of deposition processes;
Fig. 3 A is for being deposited on the dielectric layer profile schematic diagram on the substrate features according to prior art;
Fig. 3 B is for being deposited on the dielectric layer profile schematic diagram on the substrate features according to the embodiment of the invention;
Fig. 4 is a chart, and it shows according to the embodiment of the invention under different etched film thickness, the feature bottom thickness that is obtained in substrate features compact district and estranged district;
Fig. 5 is a chart, and it shows according to the embodiment of the invention under different etched film thickness, the bottom pattern loading effect that is obtained (pattern loading effect);
Fig. 6 shows the flow chart of a deposition processes embodiment;
Fig. 7 is a chart, and it shows according to the embodiment of the invention carries out thicknesses of layers in the deposition processes process;
When Fig. 8 demonstration deposited a rete according to the embodiment of the invention on a substrate, this thicknesses of layers was made the chart of figure to the time of this exposure of substrates in predecessor;
Fig. 9 is according to the film deposition reaction schematic diagram of the embodiment of the invention on substrate;
Figure 10 shows the flow chart of another embodiment of deposition processes;
Figure 11 shows the flow chart of the another embodiment of deposition processes.
Embodiment
The invention provides a kind of method and apparatus that on established feature, deposits a conformal dielectric layer.From then on the benefited rete of processing method comprises such as dielectric materials such as silica, silicon oxynitride or silicon nitride film layers, and these retes can be as clearance wall or etching stopping layer etc.These retes may doping carbon, doped with hydrogen or are contained other chemicals or element, to revise its dielectric property.But this rete is doping carbon or doping nitrogen also.For example, these retes can be SiCN, SiOC, SiOCN, SiBN, SiBCN, SiC, BN or BCN rete.On the one hand, can provide dielectric layer than single bed thickness dielectric layer more conformal (conformal) by indivedual depositions and through the combination rete that several layer film of plasma treatment is formed.Being suitable for herein, the reative cell of described processing method comprises
Figure A200780012157D00081
The P3 reative cell,
Figure A200780012157D00082
APF TMThe PECVD reative cell,
Figure A200780012157D00083
BLACK The PECVD reative cell,
Figure A200780012157D00085
The PECVD reative cell,
Figure A200780012157D00087
DARC PECVD reative cell, PRODUCER HARP reative cell,
Figure A200780012157D00088
PECVD reative cell, PRODUCER SACVD reative cell,
Figure A200780012157D00089
SE stress nitride thing PECVD reative cell and TEOS FSG PECVD reative cell, above-mentioned every kind of reative cell all can available from the Applied Materials that is positioned at the santa clara city (Applied Materials, Inc.of Santa Clara, California).These reative cells can independent construction, but most of can be as the part of an integration tool (integrated tool).These processing methods can be executed on any substrate, and for example the substrate of 200 millimeters or 300 millimeters or other are suitable for the material that semiconductor or flat-panel screens are made.Following treatment conditions system at
Figure A200780012157D00091
SE stress nitride thing PECVD reative cell provides, and this reative cell has two treatment regions of keeping apart.Therefore, the flow velocitys of the indivedual experience in each processing substrate district are to flow into half of flow velocity in this reative cell.
Fig. 1 is the flow chart of an embodiment of deposition processes 100.The all processing steps of deposition processes 100 may be carried out in same reative cell.Handle 100 and start from step 110, step 110 comprises and being positioned in the reative cell having at least one substrate that has formed feature on its surface.This established feature can be the formation feature of any kind of, for example through hole, interconnection or stack layer.Then in thin dielectric layer deposition step 120, utilize CVD or PECVD to handle and deposit a dielectric layer.This thin dielectric layer may be for example silica, silicon oxynitride or silicon nitride layer.But this rete doping carbon or doping nitrogen.The thickness of this thin dielectric layer can between about 1 dust (
Figure A200780012157D0009105321QIETU
) to about 8 dusts.The pressure of reative cell approximately between 100 millitorrs (mTorr) to 8 the holder, preferable between 2 to 8 the holder.In deposition step 120, this thin dielectric layer deposits 2-5 second approximately, and utilizes plasma to handle this thin dielectric layer subsequently in step 130.Plasma treatment step 130 may comprise use one inert gas or a reactant gas.The thicknesses of layers of in thickness determination step 140, analyzing subsequently or estimating to be deposited.If the thicknesses of layers that deposited is equal to or greater than the desired thickness of appointment, then handle 100 when ending at step 160.In this end step 160, this substrate is accepted extra processing and is shifted out from this reative cell.If thicknesses of layers that is deposited and the desired thickness that is not equal to or is not more than appointment then in the process of reprocessing 150, repeat this deposition step 120 and plasma treatment step 130.Thickness determination step 140 and reprocessing 150 can repeat repeatedly till obtaining desired film thickness, for example can repeat about 1-6 time.
Fig. 1 is the flow chart of a blanket property, with provide be plotted in the 2nd, 6 and 10-11 figure in the processing outline of processing out of the ordinary.2nd, 6 with 10-11 figure in the treatment step similar to Fig. 1 all represent with identical element numbers.Yet, also a little processing variation can be arranged.
Fig. 2 is the flow chart of the embodiment of deposition processes 200.Shown in step 202, be positioned in the reative cell having at least one substrate that has formed feature on its surface.This feature has an end face, a side and a bottom surface.Shown in step 204, a dielectric layer deposition is on this substrate.Can utilize CVD or PECVD to come this dielectric layer.This dielectric layer can be such as retes such as silicon nitride, silica or silicon oxynitride layers.Perhaps, this rete can be any above-mentioned rete that is doped with carbon or nitrogen.Usually, the deposition processes of this dielectric layer be formed on the medium thickness of this end face can be thicker than the medium thickness that is formed on bottom surface and the side.Subsequently, shown in step 206, utilize and come this dielectric layer of etching by oxygen-containing gas or the formed plasma of halogen-containing gas.This halogen-containing gas is selected from the group that is made of fluorine, chlorine, bromine and composition thereof.Can use oxygen (O 2) or other oxygenous gas supply an oxygen plasma.Maybe can select to analyze or estimate the thickness of this dielectric layer, though this step is not shown among Fig. 2 with reference to figure 1 described thickness determination step.At least one has formed when a desired dielectric layer profile is provided on the feature if the step 206 of etching dielectric layer is at this, and this processing method ends at step 208.Desired profile may one conformal or profile that essence is conformal, its side in this feature, end face can be littler than the difference in thickness of the dielectric layer after deposition and before the etching on these faces with difference in thickness on the bottom surface.In other words, just desired profile has the step coverage of improvement, that is, the percentage of the film thickness difference between this feature different surfaces is less.If the step 206 of etching dielectric layer can't be at this at least one formed when a desired dielectric layer profile is provided on the feature, then carry out a repeating step 210.This repeating step 210 comprises this dielectric layer of depositing an additional quantity and with the step of this dielectric layer of after etching.This repeating step 210 can be carried out for several times, for example can repeat 1 time to 100 times, for example between 1 time to 6 times, so that at least one has formed the desired dielectric layer profile of acquisition on the feature at this.
Get back to step 206, can be in carrying out the same reative cell of dielectric layer deposition or in the differential responses chamber this dielectric layer of etching, and this different reative cell and this settling chamber can belong to the part in the same integration tool, and this different reative cell can see through the transfer chamber of this integration tool and links to each other with this settling chamber.Oxygen-containing gas or halogen-containing gas can be introduced in this reative cell independently, or merging an inert gas introduces in this reative cell together, and inert gas can for example be argon gas or helium.Can use the plasma of original position generation or long-range generation to carry out etching step 206.The time span of etching step 206 can be at least 0.1 second, for example between about 0.1 second to about 45 seconds, as between about 15 seconds to about 45 seconds.Can design this etching outline (etch profile) to cooperate this deposition profile (deposition profile) with open-assembly time by the flow velocity of adjusting halogen-containing gas.For example, the etch-rate on this feature end face may be higher than the etch-rate on this feature sides or the bottom surface again.Usually, the etch-rate on the end face is than the etch-rate on side or the bottom surface high about 10%.In some example, may need about 50% etch-rate.Define 50% etch-rate herein and mean that one can remove the etch processes of 50% deposit dielectric layer thickness.In addition, deposition step 204 also can be that two phase deposition are handled (a two part deposition), for example carried out two seconds, and carried out extra two seconds with plasma with second power and second predecessor dividing potential drop with plasma with first power and first predecessor dividing potential drop.
Carry out among the embodiment of etching step 206 at the long-range generation plasma of use, can produce plasma by an oxygen or a halogen-containing gas are exposed under the microwave energy, wherein this halogen-containing gas is selected from the group that is made of fluorine, chlorine, bromine and composition thereof, and this remote plasma source is connected to and is used for the reative cell of dielectric layer.For example, utilizable energy provides the Nitrogen trifluoride (NF of reactive F material 3) produce these gas ions.Can Nitrogen trifluoride be imported in the reative cell between the flow velocity of about 10sccm between about 20slm.Nitrogen trifluoride also can with together import in the reative cell such as diluent gass such as argon gas or helium.Argon gas and helium also help to keep the plasma in the reative cell.Can Nitrogen trifluoride be imported in the reative cell between the flow velocity of about 100sccm between about 20slm.Chamber pressure in the etching process can be between about 10 millitorrs between about 760 holders, and the substrate support temperature in the reative cell can be set between about 100 ℃ to about 650 ℃.
Carry out among the embodiment of etching step 206 at the plasma that uses original position (promptly in reative cell) to generate, can use radio-frequency power to produce these gas ions.Radio-frequency power can have a high-frequency, for example between about 1 megahertz (MHz) between about 13.56 megahertzs, as between about 2 megahertzs between about 13.56 megahertzs; Can have one between about 100 kilo hertzs of low frequencies between about 1 megahertz, for example approximately between 100 kilo hertzs to about 400 kilo hertzs; Perhaps can have a hybrid frequency, its comprise one between the frequency between 1 megahertz to 13.56 megahertz (for example about 2 megahertzs to about 13.56 megahertzs) and one between about 100 kilo hertzs to the low frequency (for example about 100 kilo hertzs to about 400 kilo hertzs) between about 1 megahertz.As etching gas and the halogen-containing gas that is selected from the group that fluorine, chlorine, bromine and composition thereof constitute can be Nitrogen trifluoride, or the gas of a carbon containing and fluorine, for example carbon tetrafluoride (CF 4) or octafluoroization two carbon (C 4F 8).Can oxygen or halogen-containing gas be imported in this reative cell to the flow velocity of about 20slm between about 10sccm.This oxygen or halogen-containing gas can together import in this reative cell with argon gas or the helium as diluent gas.Argon gas and helium also help to keep the plasma in the reative cell.Can argon gas or helium be imported in this reative cell to the flow velocity of about 20slm between about 100sccm.Chamber pressure in the etching process may be between about 10 millitorrs between about 760 holders, and the temperature of substrate support can be set between about 100 ℃ to about 650 ℃ in the reative cell.In the reative cell, the spacing between a showerhead electrode and the substrate support electrode can be between about 100 mils (mil) between about 3000 mils.Can adjust this spacing and control the stability of plasma.
A plurality of embodiment of the present invention comprises these processing methods with single deposition step 204 and single etching step 206, and these comprise the processing method of a repeating step 210, wherein comprises a plurality of depositions and etching step in this repeating step 210.When a dielectric layer, compare with the etch-rate of this dielectric layer on the feature end face, when it had high etch rates on feature sides, execution one comprised the processing method of single deposition step and single etching step.For example, the etch-rate on this side may be at least about this dielectric layer from this end face etched speed 10%.Can be provided at the dielectric deposition processes that ion bombardment on the feature sides is lower than the ion bombardment on the feature end face, can cause dielectric etch speed on this feature sides to be higher than the result of the dielectric etch speed on this feature bottom or the end face.
The etching material thickness of the dielectric layer by making a plurality of different-thickness is maintained fixed, and and then change the percentage that these carry out etched dielectric layer, be found to for these thickness up to for the dielectric layer of 1000 dusts, these comprise bottom pattern loading effects system and medium thickness onrelevant of the processing method of single deposition step and single etching step.
At a dielectric layer (compare with the etch-rate of this dielectric layer on the feature end face, it has low etch-rate on feature sides), can carry out a processing method that comprises a plurality of depositions and etching step.For example, the etch-rate on this side may be lower than this dielectric layer approximately from this end face etched speed 10%.Can by before this dielectric layer of etching with afterwards, utilize SEM or TEM section to survey the medium thickness that is positioned at feature bottom, side and end face place, and the thickness that removed of the unit's of calculating etching period, to determine etch-rate.Improve deposition and can improve the pattern loading effect with etched cycle-index.
In an example embodiment, can carry out a processing method that comprises twice or three times deposition and etch cycle and form that to desire as etch-stop lining and characteristic size be 90 nanometers or littler dielectric layer.But each circulation deposit thickness is approximately between the dielectric layer of 300 dusts between about 400 dusts, but and the medium thickness of each etch cycle etching between about 100 dust to 200 dusts.
The exemplary test result of the embodiment of the invention demonstrates, and the may command etching outline makes its cooperation be provided at the deposition profile that etch-rate on the feature end face is higher than the etch-rate on feature bottom and side.Fig. 3 A is the SEM schematic diagram that is formed on the dielectric layer 302 on the feature 304 of substrate 306 according to prior art.This dielectric layer has uneven profile, and its thickness on feature end face 308 is greater than the thickness in feature sides 310 and bottom surface 312.Fig. 3 B shows the SEM schematic diagram that is formed on the dielectric layer 320 on the feature 304 according to the embodiment of the invention.On feature 304, the profile of this dielectric layer 320 is than the profile of this dielectric layer 302 uniformity more.
The scanning electron micrograph of established feature section also demonstrates, to contain the NF of flow 50sccm 3, the chamber pressures of 100 watts of (W) low frequency radio frequency power, 1.5 holders of 350 kilo hertzs of argon gas, frequencies of 3 liters (L) and 1000 mils the condition of spacing distance carry out NF 3Plasma etching continues 45 seconds, can make the bottom pattern loading effect (PLE) of silicon nitride dielectric layer reduce about 30% (PLE is reduced to 41% from 67%), and this etch processes can be used to adjust the step coverage of other dielectric film layer deposition processes.This etch processes can not influence membrane stress.The sidewall load effect then reduces to 33% from 46%, and the end face load effect then reduces to 3% from 10%.Thickness difference percentage between the thicknesses of layers that passes through on each position (portion), for example have the thicknesses of layers on bottom surface, end face or the side of a feature in the substrate regions (estranged district) of a few characteristic features and have the difference percentage between the thicknesses of layers on the feature corresponding site in the substrate regions (compact district) of high characteristic density, when coming the measured pattern loading effect, low pattern loading effect percentage is to reflect substrate surface to have higher uniform film thickness degree.
The 4th and 5 figure demonstrate the NF with 50sccm 3, the chamber pressures of low frequency radio frequency power, 1.5 holders of 3 liters of (L) argon gas, 100 watts and 350 kilo hertzs and 1000 mils the condition of spacing the substrate with feature estranged (iso) district and feature compact district is carried out NF 3During etching, etching period length (available etched film thickness is represented) is for the individual influences of bottom surface medium thickness and pattern loading effect.Can use about 15 to 45 seconds etching period (etch period), it is equivalent to the etched film thickness of about 100 dust to 300 dusts.Use long etching period obviously to improve this bottom surface pattern loading effect, promptly about 30%.
Use Nitrogen trifluoride (NF 3) as the fluorine containing etchant gas that is applied on the silicon nitride dielectric layer, come the relation between Comparing patterns loading effect and bottom thickness and this etch species.With one comprise the silicon nitride dielectric layer of deposit thickness 400 dusts, this silicon nitride dielectric layer is etched away the thickness of 200 dusts and deposit subsequently 450 dusts silicon nitride dielectric layer step be treated to example, relatively not having etching, power is 100 watts low frequency radio frequency plasma etching, high-frequency radio frequency plasma etching that power is 50 watts and the remote plasma source etching influence for this processing.Low frequency radio frequency plasma etching and high-frequency radio frequency plasma etching obtain identical pattern loading effect result, yet the remote plasma source etching causes bigger pattern loading effect and causes and surpasses 20% etch-rate inhomogeneities.Though the etching outline in the remote plasma etch method such as has more at the tropism, yet when the ground of sheath district voltage (sheath voltage) directivity in using the in-situ radio-frequency method quickens etch material towards the substrate table, compared to the remote plasma etch method, believe that the in-situ radio-frequency plasma-etching method can more effectively provide the etching outline similar to deposition profile, that is to say, the in-situ radio-frequency plasma-etching method at the etch-rate on the feature end face etch-rate on the very fast and feature sides slower.
Though the embodiment of Fig. 2 mainly narrates with silicon nitride (SiN) layer, yet the embodiment of Fig. 2 also can be used for him plants dielectric layer, for example silica (SiO), silicon nitride (SiN), carbonitride of silicium (SiCN), silicon oxide carbide (SiOC), carbon silicon oxynitride (SiOCN), boron silicon nitride (SiBN), boron carbonitride of silicium (SiBCN), carborundum (SiC), boron nitride (BN) or carbon boron nitride retes such as (BCN).For the rete that contains carbon, etching step 206 comprises an oxygen-containing gas and a halogen-containing gas, and this oxygenous physical efficiency provides and is used for the reactive oxygen species of etching carbonaceous layers.Halogen-containing gas and oxygen-containing gas can use simultaneously or successively use.For example, rete can be exposed to earlier in the formed plasma of oxygen-containing gas, subsequently in being exposed to the formed plasma of halogen-containing gas.
Fig. 6 is the flow chart of the embodiment of deposition processes 600.The all processing steps of deposition processes 600 can be carried out in same reative cell.Handle 600 and start from step 610, step 610 comprises and being positioned in the reative cell having at least one substrate that has formed feature (that is the substrate of a patterning) on its surface.This has formed feature can be any established feature, for example through hole, interconnection or stack layer.
In the process of predecessor and plasma step 620, lie under the situation that has plasma and silicon-containing precursor in the reative cell simultaneously, make this exposure of substrates to this silicon-containing precursor, to carry out the deposition of dielectric layer.This silicon-containing precursor can comprise octamethylcy-clotetrasiloxane (octamethylcyclotetrasiloxane, OMCTS), methyldiethoxysilane (methyldiethoxysilane, MDEOS), two (tributyl amido) silane (bis (tertiary-butylamino) silane, BTBAS), three-dimethyl amine base silane (tridimethylaminosilane, TriDMAS), three-dimethyl amine base silane (trisdimethylaminosilane, TrisDMAS), silane (silane), disilane (disilane), dichlorosilane (dichlorosilane), trichlorosilane (trichlorosilane), two bromo-silicanes (dibromosilane), silicon tetrachloride (silicon tetrachloride), the composition of silicon bromide (silicontetrabromide) or above-mentioned silicide.On the one hand, OMCTS and silane are preferable silicon-containing precursor.Frequency with the power between about 50 watts to 3000 watts and 13.56 megahertzs (MHz) and/or 350 kilo hertzs (kHz) provides these gas ions.The time that these optional gases import reative cell is identical with the time of silicon-containing gas, and these optional gases comprise helium, nitrogen, oxygen, nitrous oxide (nitrous oxide, or title nitrous oxide are commonly called as laughing gas) and argon gas.If when needing to use an additional gas, the additional gas that imports in the reative cell is good with oxygen and/or helium.Helium and other inert gas can be as carrier gas.
After step 620 feeds reative cell with plasma, predecessor and optional several additional gas, then in oxygen cleaning step 630, will import in the reative cell such as oxygen-containing gass such as oxygen or nitrous oxides.The executive mode system of oxygen cleaning step 630 feeds oxygen-containing gas to continue for some time in the reative cell and reach a selected dividing potential drop and washes residual silicon-containing precursor and these optional additional gas.Then, in oxygen plasma treatment step 640, an oxygen-containing gas (for example oxygen or nitrous oxide) is fed in the reative cell.These gas ions are provided and continue time between about 0.1 second to about 600 seconds to about 3000 watts power with about 50 watts.
Get back to step 620, can silicon-containing precursor be imported in the reative cell to the flow velocity of about 1000sccm between about 5sccm.Can between about 100sccm to the flow velocity of about 20000sccm with an optional carrier gas, helium for example is in the importing reative cell.When silicon-containing precursor being fed in the reative cell with depositional coating, the ratio that imports carrier gas (as helium) flow velocity on silicon-containing precursor (as the octamethylcy-clotetrasiloxane) velocity ratio in the reative cell is about 1:1 or higher, for example between about 1:1 extremely between about 1:100.Chamber pressure can be greater than about 5 millitorrs, for example between about 1.8 holders between about 10 holders, and the substrate support temperature in the reative cell can be between about 125 ℃ to about 580 ℃.The preferably, this temperature is lower than about 500 ℃.This silicon-containing precursor can feed in the reative cell and to continue one section and be enough to deposit thickness between the time of about 5 dusts to the rete between about 2000 dusts.For example, this silicon-containing precursor can feed in the reative cell and the time between lasting about 0.1 second to about 120 seconds.
Can utilize power between about 50 watts to about 3000 watts and the frequency radio-frequency power that is about 13.56 megahertzs or 350 kilo hertzs be provided at plasma in the step 620.The shower nozzle (that is gas distribution assembly) that this radio-frequency power can put on reative cell with and/or substrate support.Spacing between this shower nozzle and the substrate support is greater than about 230 mils, for example approximately between 350 mils between about 800 mils.
Subsequently, stop feeding silicon-containing precursor stream and radio-frequency power in the reative cell, and import an oxygen-containing gas to dispose any gassiness predecessor that remains in the reative cell in step 630, this oxygen-containing gas is oxygen, nitrous oxide or its composition for example.This oxygen-containing gas can be between 100sccm to the flow velocity feeding reative cell of about 20000sccm.And a period of time in the sustainable feeding reative cell of this oxygen-containing gas is for example between 0.1 second to 60 seconds.When oxygen-containing gas fed in the reative cell, chamber pressure can be between about 5 millitorrs between about 10 holders, and the temperature of substrate support can be between about 125 ℃ to about 580 ℃ in the reative cell.
The to be cleaned mistake after the reative cell as described in the step 640, carried out oxygen plasma treatment in reative cell, be deposited on rete on the substrate to handle this silicon-containing precursor.Can oxygen-containing gas be imported in the reative cell between the flow velocity between the 100sccm to 20000sccm.A period of time in the sustainable feeding reative cell of oxygen-containing gas is for example between about 0.1 second to about 120 seconds.The radio-frequency power that can apply in reative cell between about 50 watts to 3000 watts and about 13.56 megahertzs and/or 350 kilo hertzs provides this oxygen gas plasma.When oxygen-containing gas flowed into reative cell, chamber pressure can be between 5 millitorrs between about 10 holders, and the substrate support temperature in the reative cell can be between about 125 ℃ to about 580 ℃.
Can stop oxygen plasma treatment by the oxygen-containing gas stream that interrupts RF power and feed in the reative cell.Optionally, the thickness of the dielectric layer that analysis or estimation have deposited in thickness determination step 650.If when the thickness of the rete that deposited or plural layers is equal to or greater than a desired appointed thickness, then handles 600 and finish and terminate in this end step 660.In end step 660, substrate is accepted extra processing and is shifted out from reative cell.If thickness is not equal to or when being not more than desired appointed thickness, then in reprocessing 655, repeat deposition step 620 and plasma treatment step 630.Can make this thickness determination step 650 and this reprocessing 655 carry out repeatedly for several times, till obtaining desired film thickness, for example can repeat about 1 time to 6 times.
If thicknesses of layers is not equal to or is not more than desired appointed thickness, then continue the silicon-containing precursor air-flow is fed in the reative cell to deposit the dielectric layer of an additional quantity.Subsequently, clean this reative cell and carry out aforesaid oxygen plasma treatment.Can carry out repeatedly deposition, cleaning and plasma treatment circulation, till obtaining desired medium thickness.
Use OMCTS to carry out the experimental processing test that is similar to Fig. 6 embodiment as silicon-containing precursor and helium.With the methyl in film deposition speed and the formed rete: the ratio article on plasma body power of oxygen base is figure.When using 0 to 400 watt plasma power to experimentize, deposition rate reaches stationary phase (plateau) in about 300 watts of plasma power, and this methyl: the ratio of oxygen base then reaches minimum in about 400 watts of plasma power.
Several of test helium and OMCTS make up, and are used for the optimal proportion of dielectric layer to determine it.When the helium as additional gas is twice as the OMCTS of silicon-containing precursor, can obtain having the rete of maximum ga(u)ge.In addition, use rete that OMCTS deposited, use OMCTS and oxygen gas plasma at the rete that is deposited under 90 millitorrs and use OMCTS and oxygen gas plasma demonstrates at the sweep electron microscope photo of 2 retes that deposited under holding in the palm, among three kinds of retes, can provide best pattern loading effect and ladder coverage effect with the rete that utilizes OMCTS and oxygen gas plasma under 2 holders, to be deposited.
Compare nitrous oxide and the result of use of oxygen in oxygen plasma treatment step 640.Utilize the rete that the nitrous oxide plasma deposited and utilize the sweep electron microscope of the rete that the nitrous oxide plasma deposited to show up and demonstrate, among these two kinds of retes, use the rete that oxygen gas plasma deposited out to have preferable pattern loading effect and ladder coverage effect.
On the one hand, the embodiment of Fig. 6 is that a kind of pulse film deposition is handled (PLD), and is just interspersed with oxygen plasma treatment between Shuo Ci the silicon-containing precursor pulse, and so that the deposit film of consecutive numbers layer to be provided, and these films form a complete rete.Fig. 7 is presented in the dielectric layer deposition process of repeatedly above-mentioned deposition of execution and plasma circulation, and thicknesses of layers is done the figure of figure to sedimentation time or cycle-index.Indicate the time point of carrying out plasma treatment among the figure.Fig. 7 demonstrates a similar processing that does not contain plasma treatment, this does not contain and has the time section that several deposition rates obviously descend in the processing of plasma treatment, and the time section that this kind deposition rate obviously descends then can not appear in processing provided by the present invention simultaneously.Fig. 8 shows, this does not contain, and each has plasma to have (the plasma infiltrating time among Fig. 8 in the time section that down silicon-containing precursor (OMCTS) is fed reative cell in the similar processing of plasma treatment, plasma soak time) thicknesses of layers that is deposited can be less than according to each has plasma to exist down silicon-containing precursor is fed the thicknesses of layers that is deposited in the time section of reative cell in the processing with plasma treatment of the embodiment of the invention.And notice that handling the deposition rate that resulting this deposition rate handles than ald (ALD) according to the present invention will exceed 10 times nearly.In addition, the processing method of being narrated can be carried out in the traditional chemical phase depositing reaction chamber herein, for example PRODUCER PECVD TMReative cell.
Once observed and occurred methyl (CH in the depositional coating 3) words may hinder the further deposition of (follow-up), and oxygen plasma treatment is believed to improve deposition rate by removing the methyl of staying in the depositional coating.If the methyl group in the depositional coating is not a lot, oxygen plasma treatment can become methyl substituted hydroxyl (OH), hydroxyl can sticking in the process as nucleation site (nucleation sites) at another layer gassiness predecessor so.Fig. 9 is presented at the action effect of carrying out an oxygen plasma treatment on the rete that is deposited by octamethylcy-clotetrasiloxane (OMCTS).Simply clear for icon, only show an OMCTS molecule in this rete among the figure.In the step 902 of Fig. 9, when plasma existed, OMCTS can react with the substrate that contains the Si-OH key.Plasma cognition produces the OMCTS free radical.Shown in step 904, the OMCTS free radical is connected to the hydroxyl of substrate subsequently.In step 906, contain that at least one methyl partly replaces to hydroxyl in the OMCTS layer that the oxygen plasma treatment of oxygen will deposit.
Be found to when the predecessor that uses a self-saturated predecessor (self-saturating precursor) as depositional coating, and when using aforesaid radio-frequency power size, spacing, pressure and flow velocity ratio, can deposit the thickness even dielectric thin layer between 3 dust to 25 dusts approximately reliably.Utilize the treatment conditions that provided to obtain the rete of about 1 dust of varied in thickness amplitude in 300 millimeters single substrate herein.Person as defined herein, " self-saturated predecessor (self-saturatingprecursor) " means a kind of predecessor that can deposit skim on substrate, for example can deposit a part layer person on substrate.The existence of this thin dielectric layer can hinder the further deposition that forms extra rete from the dielectric material of this predecessor under the treatment conditions of this thin layer of deposition.OMCTS is preferable self-saturated predecessor, makes it can produce the self-saturated deposition of rete because OMCTS contains a large amount of methyl.In other words, because the surface energy of lower substrate is as soon as possible covered with the OMCTS molecule, the further deposition of Si-CH3 key meeting substantial hindrance on the film surface of deposition, up to remove methyl partly by above-mentioned oxygen plasma treatment till, thereby can deposit one first conformal rete by OMCTS.Therefore, can control the deposition of every layer of OMCTS molecular layer well, thereby improve the ladder coverage effect of final rete.
By the image susceptible of proof of sweep electron microscope,, can provide the ladder covering and lower pattern loading effect of improvement according to the processing method of 6-9 figure compared to utilizing traditional plasma to strengthen the rete that chemical vapor deposition process deposited.
Measure a plurality of patterned substrate metas with high characteristic density (compact district) and low feature density areas (estranged district) deposition situation according to the oxide skin(coating) that the embodiment of the invention deposited on feature sides, bottom surface and end face.In the compact district, reach 75% sidewall/top covering (sidewall/topcoverage), and reach 80% sidewall/top covering in the estranged district.In the compact district, reach 85% bottom/top covering (bottom/top coverage), and the bottom/top covering that in estranged district, reaches 95%.The observed pattern loading effect in feature end face place (PLE) is 0%, and only has 10% at the observed pattern loading effect of feature sides and place, bottom surface.In an example, an oxide deposition is that top thickness in 3.5 the feature is 420 dusts in depth-to-width ratio.The thickness of this rete on the side is 275 dusts, with and thickness on the bottom surface be 345 dusts.Therefore, the ladder of sidewall/top is covered as 66%, and the ladder of bottom/top is covered as 83%, and the ladder of sidewall/bottom is covered as 80%.In another example, it is that top thickness in 3.5 the feature is 340 dusts that the carbon doped oxide layer of a low-k is deposited on depth-to-width ratio.The thickness of this layer on the side is 125 dusts, and the thickness of this layer on the bottom surface is 210 dusts.Therefore, the ladder of sidewall/top is covered as 35%, and the ladder of bottom/top is covered as 60%, and the ladder of sidewall/bottom is covered as 58%.
Though mainly be using OMCTS to come the membranous layer of silicon oxide of cvd silicon oxide rete or doping carbon the foregoing description and result to be discussed as silicon-containing precursor, yet also can use other silicon-containing precursor as example.Also can use other to comprise the silicon-containing precursor of the alkyl of silicon-oxygen (Si-O) or silicon-nitrogen (Si-N) skeleton and one or more and silicon atom bonding.In addition, can use other plasma treatment to form him and plant rete.For example, can the following the 10th and 11 figure described as, use silicon-containing precursor to deposit a rete and handle this rete with a nitrogen gas plasma, formed a conformal silicon nitride layer (SiN).
Figure 10 is the flow chart of the embodiment of deposition processes 1000.Initial step 610, determining film thickness step 650, repeating step 655 and end step 660 be as above Fig. 6 described as.In deposition step 1010, a silicon-containing precursor is imported in this reative cell.Silicon-containing precursor can comprise octamethylcy-clotetrasiloxane (octamethylcyclotetrasiloxane, OMCTS), methyldiethoxysilane (methyldiethoxysilane, MDEOS), two (tributyl amido) silane (bis (tertiary-butylamino) silane, BTBAS), three (dimethyl amine base silane) (tridimethylaminosilane, TriDMAS), three-dimethyl amine base silane (trisdimethylaminosilane, TrisDMAS), silane (silane), disilane (disilane), dichlorosilane (dichlorosilane), trichlorosilane (trichlorosilane), two bromo-silicanes (dibromosilane), silicon tetrachloride (silicon tetrachloride), the composition of silicon bromide (silicontetrabromide) or above-mentioned silicon-containing compound.Silane is the preferred precursor that is used for deposition processes 1000.Deposition step 1010 can be carried out about 2 to 5 seconds.Then in step 1020, nitrogen is imported in the reative cell with the cleaning reaction chamber.Subsequently, in step 1030, use ammonia in order in this reative cell, to provide plasma.Continue and carry out step 1040, carry out another time nitrogen purge step.Be about every circulation 60 seconds a circulation timei to 650 from step 1010, and heavy machine speed rate is about every circulation 2 dusts.Handle 1000 conformal covering is provided, and cleaning efficiency (that is, before ammonia plasmas, cleaning step removes the efficient of gassiness predecessor) can be controlled conformal spreadability.
Figure 11 is the flow chart of another embodiment of deposition processes 1100.Its initial step 610, determining film thickness step 650, repeating step 655 and end step 660 are as mentioned above.In deposition step 1110, a silicon-containing precursor is imported in this reative cell.After silicon-containing precursor step 1110, can carry out an optional nitrogen purge step (not shown).Then in plasma step 1120, in the plasma importing reative cell with a nitrogen-containing precursor.Nitrogen-containing precursor can comprise nitrogen, ammonia or nitrous oxide.Ammonia is preferable nitrogen-containing precursor.With deposition processes 1100, be about 30 seconds a circulation timei from step 1110 to step 650.Deposition rate is about every circulation 3.5 dusts.
Can be according to the conformal rete that the embodiment of the invention provided as the different retes in the semiconductor device.For example, these retes can be used as these elder generation's depositions and then lose Ke to form the rete of gap coin around the transistor gate stack layer, and perhaps these retes can be as barrier layer.
The advantage of above-mentioned processing is that these processing can be produced the rete with preferable step coverage and low pattern loading effect.And can in same reative cell, carry out a plurality of treatment step circulations, thereby need the processing of a plurality of reative cells to need the shorter processing time than these.And total heat budget and individual substrates treatment temperature do not use the heat budget and the temperature of processing of plasma low than these yet.
Though foregoing has illustrated a plurality of embodiment of the present invention, yet under the situation that does not depart from base region of the present invention, still can design other and the more most one one embodiment of the invention, and scope of the present invention is when being defined by claim.

Claims (20)

1. form the method for a rete on the patterned substrate in reative cell, this method comprises:
Make this patterned substrate be exposed to a silicon-containing precursor under the situation of plasma existing, with deposition one rete on this patterned substrate;
After treating this film deposition, use and handle this film by the formed plasma of an oxygen-containing gas; And
Repeat this exposing step and this treatment step, up to obtaining desired film thickness.
2. the method for claim 1 is characterized in that, this rete is the silicon oxide layer of silicon oxide layer or doping carbon.
3. the method for claim 1 is characterized in that, this silicon-containing precursor comprises one or more and the alkyl silicon bonding.
4. the method for claim 1 is characterized in that, this oxygen-containing gas comprises oxygen, nitrous oxide or its composition.
5. the method for claim 1 is characterized in that, this silicon-containing precursor comprises octamethylcy-clotetrasiloxane (OMCTS), and this oxygen-containing gas comprises oxygen.
6. the method for claim 1 is characterized in that, uses the step of handling this rete by the formed plasma of oxygen-containing gas to comprise and remove a plurality of methyl from the rete that has deposited.
7. method as claimed in claim 6 is characterized in that, using the step of handling this rete by the formed plasma of oxygen-containing gas more to comprise to the rete that has deposited increases a plurality of hydroxyls.
8. the method for claim 1 more is included in after the acquisition desired film thickness, and this rete of etching is to form a clearance wall around a stack layer.
9. form the method for a rete on the patterned substrate in reative cell, this method comprises:
Make this patterned substrate be exposed to a silicon-containing precursor under the situation of plasma existing, with deposition one rete on this patterned substrate;
After treating this film deposition, use and handle this film by the formed plasma of a nitrogenous gas; And
Repeat this exposing step and this treatment step, up to obtaining desired film thickness.
10. method as claimed in claim 9 is characterized in that, this silicon-containing precursor comprises silicon-nitrogen (Si-N) skeleton and alkyl one or more and the silicon bonding.
11. method as claimed in claim 9, it is characterized in that this silicon-containing precursor is to be selected from the group that is made of octamethylcy-clotetrasiloxane, methyldiethoxysilane, two (tributyl amido) silane, three-dimethyl amine base silane, three-dimethyl amine base silane, silane, disilane, dichlorosilane, trichlorosilane, two bromo-silicane, silicon tetrachloride and silicon bromides.
12. method as claimed in claim 9 is characterized in that, this rete comprises silicon and nitrogen.
13. the method that ladder covers and pattern loads that is used to control the rete on the substrate, this method comprises:
The substrate that has at least one established feature on one its surface is positioned in the reative cell;
Deposition one dielectric layer on this substrate; And
Use contains oxygen by one or the formed plasma of halogen-containing gas comes this dielectric layer of etching, to provide the dielectric layer with a desired profile at this at least one established feature, wherein halogen-containing gas is selected from the group that is made of fluorine, chlorine, bromine and composition thereof.
14. method as claimed in claim 13, it is characterized in that, the step of dielectric layer and utilize a plasma to come the step of this dielectric layer of etching in same reative cell, to carry out, or in the different reative cell continuous by a transfer chamber, carry out.
15. method as claimed in claim 13, it is characterized in that, this feature comprises an end face, a side and a bottom surface, this dielectric layer deposition is deposited on thickness on this bottom surface and the side at the thickness on this end face greater than it, and the etch-rate of the dielectric layer on this end face is than the etch-rate height of the dielectric layer on this bottom surface and this side.
16. method as claimed in claim 15 is characterized in that, the etch-rate of the dielectric layer on this end face will exceed at least 10% than the etch-rate of the dielectric layer on this bottom surface and side.
17. method as claimed in claim 15 more comprises step that repeats deposition one dielectric layer and the step of utilizing a plasma to come this dielectric layer of etching, to provide the dielectric layer with a desired profile at this at least one established feature.
18. method as claimed in claim 15 is characterized in that, this dielectric layer is a silicon nitride layer, and described plasma is Nitrogen trifluoride (NF 3) plasma.
19. method as claimed in claim 13 is characterized in that, described plasma is to produce by (RF) power of the radio frequency in this reative cell or a remote plasma source.
20. method as claimed in claim 19, it is characterized in that, described plasma utilizes radio-frequency power to produce, this radio-frequency power comprise between about 100 kilo hertzs (kHz) single-frequency between about 1 megahertz (MHz), between about 1 megahertz to the single-frequency between about 13.56 megahertzs, or between about 100 kilo hertzs to the first frequency between about 1 megahertz and between about 1 megahertz to the second frequency between about 13.56 megahertzs.
CN2007800121574A 2006-03-31 2007-03-30 Method to improve the step coverage and pattern loading for dielectric films Expired - Fee Related CN101416293B (en)

Applications Claiming Priority (9)

Application Number Priority Date Filing Date Title
US78827906P 2006-03-31 2006-03-31
US60/788,279 2006-03-31
US79025406P 2006-04-07 2006-04-07
US60/790,254 2006-04-07
US11/668,911 2007-01-30
US11/668,911 US7601651B2 (en) 2006-03-31 2007-01-30 Method to improve the step coverage and pattern loading for dielectric films
US11/693,005 2007-03-29
US11/693,005 US7780865B2 (en) 2006-03-31 2007-03-29 Method to improve the step coverage and pattern loading for dielectric films
PCT/US2007/065592 WO2007118026A2 (en) 2006-03-31 2007-03-30 Step coverage and pattern loading for dielectric films

Publications (2)

Publication Number Publication Date
CN101416293A true CN101416293A (en) 2009-04-22
CN101416293B CN101416293B (en) 2011-04-20

Family

ID=38581763

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2007800121574A Expired - Fee Related CN101416293B (en) 2006-03-31 2007-03-30 Method to improve the step coverage and pattern loading for dielectric films

Country Status (4)

Country Link
KR (1) KR20080106984A (en)
CN (1) CN101416293B (en)
TW (2) TW201415551A (en)
WO (1) WO2007118026A2 (en)

Cited By (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102598228A (en) * 2009-10-22 2012-07-18 应用材料公司 Stress management for tensile films
CN102768955A (en) * 2012-07-03 2012-11-07 上海华力微电子有限公司 Method for forming low-loading-effect thin film
CN102820220A (en) * 2012-07-03 2012-12-12 上海华力微电子有限公司 Forming method of low-temperature silica film
CN102832119A (en) * 2012-07-03 2012-12-19 上海华力微电子有限公司 Method for forming low temperature silicon dioxide film
CN103390703A (en) * 2013-08-05 2013-11-13 聚灿光电科技(苏州)有限公司 Preparation method of low-damage and high-density film and LED chip provided with film
CN103733317A (en) * 2011-08-18 2014-04-16 应用材料公司 Dry-etch for silicon-and-nitrogen-containing films
CN103890910A (en) * 2011-09-23 2014-06-25 诺发系统公司 Plasma activated conformal dielectric film deposition
CN103975419A (en) * 2011-09-01 2014-08-06 诺发系统公司 Plasma activated conformal dielectric film deposition
CN104752315A (en) * 2013-12-25 2015-07-01 旺宏电子股份有限公司 Semiconductor element and manufacturing method thereof
CN105322013A (en) * 2014-07-17 2016-02-10 联华电子股份有限公司 Semiconductor element and forming method thereof
US9355839B2 (en) 2012-10-23 2016-05-31 Lam Research Corporation Sub-saturated atomic layer deposition and conformal film deposition
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9570290B2 (en) 2010-04-15 2017-02-14 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9570274B2 (en) 2010-04-15 2017-02-14 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US9786570B2 (en) 2012-11-08 2017-10-10 Novellus Systems, Inc. Methods for depositing films on sensitive substrates
US9793110B2 (en) 2010-04-15 2017-10-17 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
CN107437503A (en) * 2016-05-26 2017-12-05 灿美工程股份有限公司 Substrate processing method using same
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
CN107710453A (en) * 2015-06-05 2018-02-16 应用材料公司 Battery separator with dielectric coat
CN107742672A (en) * 2012-11-14 2018-02-27 应用材料公司 Pattern for the transfer of HDD bit-patterned medias pattern is strengthened
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
CN110896050A (en) * 2018-09-12 2020-03-20 长鑫存储技术有限公司 Method for forming dielectric film
CN112204706A (en) * 2018-06-19 2021-01-08 应用材料公司 Improved step coverage for pulsed plasma deposition etch
US11646198B2 (en) 2015-03-20 2023-05-09 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US11688851B2 (en) 2015-01-09 2023-06-27 Applied Materials, Inc. Method of forming an anode structure with dielectric coating
US12040181B2 (en) 2019-07-03 2024-07-16 Lam Research Corporation Modulated atomic layer deposition

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8574447B2 (en) * 2010-03-31 2013-11-05 Lam Research Corporation Inorganic rapid alternating process for silicon etch
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
KR20160061129A (en) * 2014-11-21 2016-05-31 주식회사 원익아이피에스 Method of fabricating stacked film
KR102362534B1 (en) 2014-12-08 2022-02-15 주성엔지니어링(주) Substrate disposition method
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US20170178899A1 (en) * 2015-12-18 2017-06-22 Lam Research Corporation Directional deposition on patterned structures
JP6817168B2 (en) 2017-08-25 2021-01-20 東京エレクトロン株式会社 How to process the object to be processed
US10910216B2 (en) 2017-11-28 2021-02-02 Taiwan Semiconductor Manufacturing Co., Ltd. Low-k dielectric and processes for forming same
KR102513404B1 (en) * 2018-09-21 2023-03-27 주식회사 원익아이피에스 Method of forming SiCN layer
TW202229613A (en) * 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5641710A (en) * 1996-06-10 1997-06-24 Taiwan Semiconductor Manufacturing Company, Ltd. Post tungsten etch back anneal, to improve aluminum step coverage
US6303523B2 (en) * 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6068884A (en) * 1998-04-28 2000-05-30 Silcon Valley Group Thermal Systems, Llc Method of making low κ dielectric inorganic/organic hybrid films
US6297163B1 (en) * 1998-09-30 2001-10-02 Lam Research Corporation Method of plasma etching dielectric materials
TW460408B (en) * 1999-04-20 2001-10-21 Applied Materials Inc Remote plasma nitridation of silicon
JP4554011B2 (en) * 1999-08-10 2010-09-29 ルネサスエレクトロニクス株式会社 Manufacturing method of semiconductor integrated circuit device
US6399208B1 (en) * 1999-10-07 2002-06-04 Advanced Technology Materials Inc. Source reagent composition and method for chemical vapor deposition formation or ZR/HF silicate gate dielectric thin films
US6410462B1 (en) * 2000-05-12 2002-06-25 Sharp Laboratories Of America, Inc. Method of making low-K carbon doped silicon oxide
TW447077B (en) * 2000-07-17 2001-07-21 Taiwan Semiconductor Mfg Method for improving the characteristics of dielectric layer with a low dielectric constant formed by chemical vapor deposition
TW563202B (en) * 2000-10-25 2003-11-21 Ibm An ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and an electronic device containing the same
US6531412B2 (en) * 2001-08-10 2003-03-11 International Business Machines Corporation Method for low temperature chemical vapor deposition of low-k films using selected cyclosiloxane and ozone gases for semiconductor applications
TW497140B (en) * 2001-10-09 2002-08-01 Taiwan Semiconductor Mfg Process system for plasma etching and chemical vapor deposition
AU2002343029A1 (en) * 2001-11-16 2003-06-10 Trikon Holdings Limited Forming low k dielectric layers
US6800566B2 (en) * 2002-02-21 2004-10-05 Taiwan Semiconductor Manufacturing Company Adjustment of N and K values in a DARC film
US7335609B2 (en) * 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
US6858542B2 (en) * 2003-01-17 2005-02-22 Freescale Semiconductor, Inc. Semiconductor fabrication method for making small features
US7037855B2 (en) * 2004-08-31 2006-05-02 Asm Japan K.K. Method of forming fluorine-doped low-dielectric-constant insulating film

Cited By (56)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102598228A (en) * 2009-10-22 2012-07-18 应用材料公司 Stress management for tensile films
US9793110B2 (en) 2010-04-15 2017-10-17 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9570290B2 (en) 2010-04-15 2017-02-14 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US10043657B2 (en) 2010-04-15 2018-08-07 Lam Research Corporation Plasma assisted atomic layer deposition metal oxide for patterning applications
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9570274B2 (en) 2010-04-15 2017-02-14 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US10043655B2 (en) 2010-04-15 2018-08-07 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US11133180B2 (en) 2010-04-15 2021-09-28 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US10361076B2 (en) 2010-04-15 2019-07-23 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US10559468B2 (en) 2010-04-15 2020-02-11 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US11011379B2 (en) 2010-04-15 2021-05-18 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9673041B2 (en) 2010-04-15 2017-06-06 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for patterning applications
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
CN103733317A (en) * 2011-08-18 2014-04-16 应用材料公司 Dry-etch for silicon-and-nitrogen-containing films
CN103975419A (en) * 2011-09-01 2014-08-06 诺发系统公司 Plasma activated conformal dielectric film deposition
CN103975419B (en) * 2011-09-01 2017-04-12 诺发系统公司 Plasma activated conformal dielectric film deposition
CN103890910A (en) * 2011-09-23 2014-06-25 诺发系统公司 Plasma activated conformal dielectric film deposition
CN107342216B (en) * 2011-09-23 2022-05-31 诺发系统公司 Plasma activated conformal dielectric film deposition
CN107342216A (en) * 2011-09-23 2017-11-10 诺发系统公司 Plasma-activated conforma dielectric film deposition
CN102768955A (en) * 2012-07-03 2012-11-07 上海华力微电子有限公司 Method for forming low-loading-effect thin film
CN102820220A (en) * 2012-07-03 2012-12-12 上海华力微电子有限公司 Forming method of low-temperature silica film
CN102832119A (en) * 2012-07-03 2012-12-19 上海华力微电子有限公司 Method for forming low temperature silicon dioxide film
US9355839B2 (en) 2012-10-23 2016-05-31 Lam Research Corporation Sub-saturated atomic layer deposition and conformal film deposition
US10008428B2 (en) 2012-11-08 2018-06-26 Novellus Systems, Inc. Methods for depositing films on sensitive substrates
US9786570B2 (en) 2012-11-08 2017-10-10 Novellus Systems, Inc. Methods for depositing films on sensitive substrates
US10741458B2 (en) 2012-11-08 2020-08-11 Novellus Systems, Inc. Methods for depositing films on sensitive substrates
CN107742672A (en) * 2012-11-14 2018-02-27 应用材料公司 Pattern for the transfer of HDD bit-patterned medias pattern is strengthened
CN103390703A (en) * 2013-08-05 2013-11-13 聚灿光电科技(苏州)有限公司 Preparation method of low-damage and high-density film and LED chip provided with film
CN103390703B (en) * 2013-08-05 2016-08-17 聚灿光电科技股份有限公司 The preparation method of low-damage and high-density film and there is the LED chip of this film
CN104752315B (en) * 2013-12-25 2018-03-06 旺宏电子股份有限公司 Semiconductor element and its manufacture method
CN104752315A (en) * 2013-12-25 2015-07-01 旺宏电子股份有限公司 Semiconductor element and manufacturing method thereof
US10529856B2 (en) 2014-07-17 2020-01-07 United Microelectronics Corp. Method of forming semiconductor device
CN105322013A (en) * 2014-07-17 2016-02-10 联华电子股份有限公司 Semiconductor element and forming method thereof
CN105322013B (en) * 2014-07-17 2020-04-07 联华电子股份有限公司 Semiconductor device and method for forming the same
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US10804099B2 (en) 2014-11-24 2020-10-13 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9875891B2 (en) 2014-11-24 2018-01-23 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US11688851B2 (en) 2015-01-09 2023-06-27 Applied Materials, Inc. Method of forming an anode structure with dielectric coating
US11646198B2 (en) 2015-03-20 2023-05-09 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
CN107710453A (en) * 2015-06-05 2018-02-16 应用材料公司 Battery separator with dielectric coat
CN107710453B (en) * 2015-06-05 2020-12-22 应用材料公司 Battery separator with dielectric coating
CN107437503A (en) * 2016-05-26 2017-12-05 灿美工程股份有限公司 Substrate processing method using same
US10373806B2 (en) 2016-06-30 2019-08-06 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10957514B2 (en) 2016-06-30 2021-03-23 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10679848B2 (en) 2016-07-01 2020-06-09 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
CN112204706B (en) * 2018-06-19 2022-02-25 应用材料公司 Improved step coverage for pulsed plasma deposition etch
CN112204706A (en) * 2018-06-19 2021-01-08 应用材料公司 Improved step coverage for pulsed plasma deposition etch
CN110896050A (en) * 2018-09-12 2020-03-20 长鑫存储技术有限公司 Method for forming dielectric film
US12040181B2 (en) 2019-07-03 2024-07-16 Lam Research Corporation Modulated atomic layer deposition

Also Published As

Publication number Publication date
TWI424498B (en) 2014-01-21
CN101416293B (en) 2011-04-20
KR20080106984A (en) 2008-12-09
TW201415551A (en) 2014-04-16
WO2007118026A2 (en) 2007-10-18
TW200816310A (en) 2008-04-01
WO2007118026A3 (en) 2008-01-10

Similar Documents

Publication Publication Date Title
CN101416293B (en) Method to improve the step coverage and pattern loading for dielectric films
TWI804706B (en) Method of topology-selective film formation of silicon oxide
US10825680B2 (en) Directional deposition on patterned structures
CN107104036B (en) Method for selectively forming silicon nitride film on trench sidewall or planar surface
US7780865B2 (en) Method to improve the step coverage and pattern loading for dielectric films
US7601651B2 (en) Method to improve the step coverage and pattern loading for dielectric films
JP6562629B2 (en) Plasma atomic layer deposition with pulsed plasma exposure
CN103975419B (en) Plasma activated conformal dielectric film deposition
US9076646B2 (en) Plasma enhanced atomic layer deposition with pulsed plasma exposure
TWI640469B (en) Soft landing nanolaminates for advanced patterning
TW202111148A (en) Structures including dielectric layers,methods of forming the same and reactor system forperforming forming methods
TW202129053A (en) Method of topology-selective film formation of silicon oxide
CN102017081B (en) Boron nitride and boron-nitride derived materials deposition method
CN103890910B (en) Method and device for plasma activated conformal dielectric film deposition
CN111247269A (en) Geometrically selective deposition of dielectric films
CN110313051A (en) Silicon carbide film is densified using remote plasma treatment
WO2018089534A1 (en) Method for high modulus ald sio2 spacer
TW201809345A (en) Methods for depositing films on sensitive substrates
CN114245832A (en) In-situ control of film properties during atomic layer deposition
CN114127890A (en) Modulated atomic layer deposition
TW202236508A (en) Underlayer film for semiconductor device formation
KR20240032126A (en) Plasma-enhanced atomic layer deposition of silicon-containing films
US20230307290A1 (en) Reducing intralevel capacitance in semiconductor devices
TWI766014B (en) Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
TWI842531B (en) Method of topology-selective film formation of silicon oxide

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C56 Change in the name or address of the patentee
CP01 Change in the name or title of a patent holder

Address after: American California

Patentee after: Applied Materials Inc.

Address before: American California

Patentee before: Applied Materials Inc.

CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20110420

Termination date: 20150330

EXPY Termination of patent right or utility model