CN110313051A - Silicon carbide film is densified using remote plasma treatment - Google Patents

Silicon carbide film is densified using remote plasma treatment Download PDF

Info

Publication number
CN110313051A
CN110313051A CN201780086632.6A CN201780086632A CN110313051A CN 110313051 A CN110313051 A CN 110313051A CN 201780086632 A CN201780086632 A CN 201780086632A CN 110313051 A CN110313051 A CN 110313051A
Authority
CN
China
Prior art keywords
silicon carbide
carbide film
thickness
silicon
key
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201780086632.6A
Other languages
Chinese (zh)
Other versions
CN110313051B (en
Inventor
巴德里·N·瓦拉达拉简
龚波
袁光璧
桂喆
赖锋源
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Rum Research Corp
Original Assignee
Rum Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Rum Research Corp filed Critical Rum Research Corp
Priority to CN202110823900.6A priority Critical patent/CN113707542A/en
Publication of CN110313051A publication Critical patent/CN110313051A/en
Application granted granted Critical
Publication of CN110313051B publication Critical patent/CN110313051B/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02529Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02441Group 14 semiconducting materials
    • H01L21/02447Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors

Abstract

Provide the method and apparatus for densifying silicon carbide film using remote plasma treatment.The operation of remote plasma deposition and remote plasma treatment silicon carbide film alternately occurs to control film density.The silicon carbide film for depositing first thickness, then carries out remote plasma treatment, and then deposits the silicon carbide film of second thickness, then carries out another secondary remote plasma treatment.Remote plasma treatment can make the free radical (for instance in the hydroperoxyl radical of ground state) of the source gas in substantial low-energy state towards the silicon carbide film flowing being deposited on substrate.The free radical of source gas in substantial lower state promotes crosslinking and film densification in silicon carbide film.

Description

Silicon carbide film is densified using remote plasma treatment
Cross reference to related applications
This application claims " DENSIFICATION OF SILICON submitting and entitled on December 16th, 2016 The U.S. Patent application No.15/382,137's of CARBIDE FILM USING REMOTE PLASMA TREATMENT " is preferential Power, this application entire contents are incorporated herein by reference and for all purposes.
Technical field
The disclosure relates generally to the formation of silicon carbide film, and more particularly relate to the remote of densification silicon carbide film Journey is plasma-deposited and remote plasma treatment.
Background technique
Silicon carbide (SiC) class film has unique physics, chemistry and mechanical performance, and is used for various applications, especially It is in Application of integrated circuit.The type of SiC film includes silicon carbide (also referred to as silicon oxide carbide) through oxygen doping, through N doping Silicon carbide (also referred to as carbonitride of silicium) and silicon carbide (also referred to as carbon silicon oxynitride) through oxygen and N doping and undoped Silicon carbide.
Summary of the invention
This disclosure relates to a kind of method for densifying silicon carbide film.This method comprises: providing substrate in the reaction chamber;? The silicon carbide film of first thickness is deposited on the substrate;And the silicon carbide film of the first thickness is exposed to long-range hydrogen Corona treatment, wherein the silicon carbide film of the first thickness is densified;In the carbonization of the first thickness The silicon carbide film of second thickness is deposited on silicon fiml;And the silicon carbide film of the second thickness is exposed to long-range hydrogen Corona treatment, wherein the silicon carbide film of the second thickness is densified.
In some implementations, each of the first thickness and the second thickness are between aboutPeace treatyBetween.In some implementations, the silicon carbide film for depositing the first thickness includes: that (a) makes one kind or more Kind flows into the reaction chamber containing silicon precursor;And (b) make the one or more hydroperoxyl radical streams generated from remote plasma source It moves to continue first time period with one or more siliceous precursors reactions, wherein depositing the carbonization of the second thickness Silicon fiml includes that repetitive operation (a) and (b) continue the second segment time.In some implementations, it is described it is one or more it is siliceous before Each in body has (i) one or more silicon-hydrogen bonds and/or silicon-silicon bond, and (ii) one or more silicon-carbon bonds, silicon- Nitrogen key and/or silicon-oxygen key.In some implementations, each one or more containing in silicon precursor is selected from: cyclic annular silicon Oxygen alkane, linear siloxane, alkoxy silane, alkyl silane and silazane.In some implementations, in the hydroperoxyl radical At least 90% is the hydroperoxyl radical of ground state.In some implementations, wherein the silicon carbide film by the first thickness is sudden and violent Being exposed to long-range hydrogen plasma process includes: that (c) makes hydrogen source gas flow into remote plasma source;(d) make inert gas with it is described Hydrogen source flow of air;(e) hydroperoxyl radical in remote plasma source is generated from the hydrogen source gas;And (f) make the hydrogen free Base flow to the first thickness the silicon carbide film, wherein the silicon carbide film of the second thickness is exposed to long-range hydrogen Corona treatment include on the silicon carbide film of the second thickness repetitive operation (c) arrive (f).In some implementations In, the inert gas is helium, and the hydrogen source gas in the helium has the density of hydrogen of 1-10%.In some realization sides In formula, the silicon carbide film of the first thickness is exposed to long-range hydrogen plasma process further include: (g) makes coreaction gas Body flows together with the source gas, wherein the coreaction gas includes oxygen (O2), nitrogen (N2), carbon dioxide (CO2), one Carbonoxide (CO), water (H2O), methanol (CH3OH), ozone (O3), nitrous oxide (N2O), ammonia (NH3), diazene (N2H2), methane (CH4), ethane (C2H6), acetylene (C2H2), ethylene (C2H4), diborane (B2H6) or combinations thereof, wherein by the second thickness It further includes repeating to grasp to the silicon carbide film of the second thickness that the silicon carbide film, which is exposed to long-range hydrogen plasma process, Make (g).In some implementations, the pressure in the reaction chamber is between about 0.2 support and about 5 supports.In some realization sides In formula, the silicon carbide film of the first thickness is exposed to long-range hydrogen plasma process and is carried out between about 5 seconds and about 50 Duration between second, and the silicon carbide film of the second thickness is exposed to long-range hydrogen plasma process and is carried out Duration between about 5 seconds and about 50 seconds.
Present disclosure also relates to the equipment for densifying silicon carbide film.The device includes reaction chamber, far from reaction chamber Plasma source, for substrate to be kept substrate support in the reaction chamber, and configured with being operated below for providing The controller of instruction: substrate is provided in the reaction chamber;The silicon carbide film of first thickness is deposited over the substrate;And it will be described The silicon carbide film of first thickness is exposed to long-range hydrogen plasma process, wherein the silicon carbide film of the first thickness It is densified;The silicon carbide film of second thickness is deposited on the silicon carbide film of the first thickness;And it will be described The silicon carbide film of second thickness is exposed to long-range hydrogen plasma process, wherein the silicon carbide film of the second thickness It is densified.
In some implementations, each of the first thickness and the second thickness are between aboutPeace treatyBetween.In some implementations, the silicon carbide film for depositing the first thickness includes: that (a) makes one kind or more Kind flows into the reaction chamber containing silicon precursor;And (b) make the one or more hydroperoxyl radical streams generated from remote plasma source It moves to continue first time period with one or more siliceous precursors reactions, wherein depositing the carbonization of the second thickness Silicon fiml includes that repetitive operation (a) and (b) continue the second segment time.In some implementations, in the hydroperoxyl radical at least 90% is the hydroperoxyl radical of ground state.In some implementations, wherein the silicon carbide film of the first thickness is exposed to Long-range hydrogen plasma process includes: that (c) makes hydrogen source gas flow into remote plasma source;(d) make inert gas and the hydrogen source Flow of air;(e) hydroperoxyl radical in remote plasma source is generated from the hydrogen source gas;And (f) make the hydroperoxyl radical stream To the silicon carbide film of the first thickness, wherein by the silicon carbide film of the second thickness be exposed to long-range hydrogen etc. from Daughter processing include on the silicon carbide film of the second thickness repetitive operation (c) arrive (f).In some implementations, The inert gas is helium, and the hydrogen source gas in the helium has the density of hydrogen of 1-10%.In some implementations In, the pressure in the reaction chamber is between about 0.2 support and about 5 supports.
These and other embodiment will be described further below with reference to attached drawing.
Detailed description of the invention
Figure 1A shows the cross section for the exemplary silicon carbide film being deposited on substrate.
Figure 1B shows the silicon carbide vertical structure on the side wall of the gate electrode structure of transistor.
Fig. 1 C shows the silicon carbide vertical structure in the metalization layer of air-spaced type on the side wall of the exposure of copper wire.
Fig. 1 D shows the carbonization silicon hole sealant for porous dielectric material.
Fig. 2 shows the embodiments of representative cage type silane precursor.
Fig. 3 shows the schematic diagram of the device with remote plasma source.
Fig. 4 A show densification before oxygen doping silicon carbide chemical structure example.
Fig. 4 B show densification after oxygen doping silicon carbide chemical structure example.
Fig. 5 A-5D shows deposition and densifies each stage of the silicon carbide film on substrate.
Fig. 6 A shows Fourier transform infrared spectroscopy (FTIR) absorption spectrum, with various vibration peaks, for examining Survey certain key types in the silicon carbide film in layered processing and the silicon carbide film without layered shaping.
Fig. 6 B-6E shows the enlarged view of the FTIR absorption spectrum in Fig. 6 A, with various vibration peaks, for examining Survey certain key types in the silicon carbide film of layered processing and the silicon carbide film without layered shaping.
Specific embodiment
In the following description, numerous specific details are set forth, to provide to the thorough understanding of ideas presented.It can be with Presented design is practiced without some or all of these details.In other cases, without detailed It is thin to describe well known processing operation, in order to avoid unnecessarily make described design indigestion.Although some designs will be in conjunction with tool Body embodiment is described, it is to be understood that, these embodiments are not intended to be limiting.
In the disclosure, term " semiconductor wafer ", " chip ", " substrate ", " wafer substrates " and " part manufacture it is integrated Circuit " is used interchangeably.It should be appreciated by those skilled in the art that term " integrated circuit of part manufacture " can refer to The silicon wafer during any stage in many stages of the IC manufacturing carried out above it.In semiconductor devices industry Used in chip or substrate typically there is the diameters of 200 millimeters or 300 millimeters or 450 millimeters.Following detailed description is false If the disclosure is realized on chip.However, the disclosure is not limited thereto.Workpiece can be various shape, size and material. Other than semiconductor wafer, other workpiece using disclosure advantage further include various articles, such as printed circuit board, magnetic recording Medium, magnetic recording sensors, mirror, optical element, micro-mechanical device etc..
Introduction
The manufacture of semiconductor equipment is usually directed in integrated manufacturing technology deposits one or more films on substrate.? In some aspects of manufacturing process, as the film type of silicon carbide, silicon oxide carbide, carbonitride of silicium and carbon silicon oxynitride etc uses Atomic layer deposition (ALD), chemical vapor deposition (CVD), plasma enhanced chemical vapor deposition (PECVD) or any other conjunction Suitable deposition method is deposited.As it is used herein, term " silicon carbide " includes undoped or doped SiGeC Object, the silicon carbide (SiCO) such as through oxygen doping, the silicon carbide (SiCN) through N doping and the silicon carbide through nitrogen and oxygen doping (SiOCN).For majority, doped silicon carbide have at most about 50% atom foreign atom, no matter these atoms It is the atom of oxygen, nitrogen or other elements.Doped level provides required film properties.
Precursor molecule for depositing silicon silicon may include with silicon-hydrogen (Si-H) key and/or silicon-silicon (Si-Si) key, And the siliceous molecule of silico-carbo (Si-C) key.Precursor molecule for depositing silicon oxide carbide includes having silicon-hydrogen (Si-H) key And/or the siliceous molecule of silicon-silicon (Si-Si) key and silicon-oxygen (Si-O) key, and/or silico-carbo (Si-C) key.For depositing carbon The precursor molecule of silicon nitride include with silicon-hydrogen (Si-H) key and/or silicon-silicon (Si-Si) key and silicon-nitrogen (Si-N) key, And/or the siliceous molecule of silico-carbo (Si-C) key.Precursor molecule for depositing carbon silicon oxynitride includes having silicon-hydrogen (Si-H) Key, and/or silicon-silicon (Si-Si) key and silicon-nitrogen (Si-N) key, silicon-oxygen (Si-O) key and/or silico-carbo (Si-C) key contain Silicon molecule.In-situ plasma treatment, in situ in corona treatment, plasma quilt can be used in current pecvd process It is directly provided near substrate.
It has been found that the carborundum films of deposition of high-quality there can be some challenges, such as provide with following characteristic Film: good Step Coverage, low-k, high-breakdown-voltage, low-leakage current, high porosity, and/or it is covered on exposed gold Without oxidized metal surface on metal surface.
Although the present invention is not being bound by any particular theory, it is believed that the plasma item in typical pecvd process Part by generate it is dysgenic in a manner of siliceous precursor molecule is divided into segment.For example, PECVD can be destroyed in precursor molecule Si-O key and/or Si-C key, to generate high mars free radical or with other clip types of high sticking coefficient.It is resulting through mixing The segment of miscellaneous silicon carbide film may include with " suspension " key silicon, carbon, and/or oxygen atom, mean silicon, carbon and/or Oxygen atom has active azygous valence electron.Precursor molecule and the high sticking coefficient of their segment can be deposited with bad The silicon carbide film of Step Coverage, because active precursor segment can disproportionately adhere to the upper area of the side wall of recessed features In other structures.
Dangling bonds can generate silanol group (Si-OH) in the silicon oxycarbide films or carbon silicon oxynitride film deposited.It is tied Fruit is that the film may have unfavorable high dielectric constant.Film quality may also be affected, because direct plasma condition is inclined To in carbon is extracted from deposition film.
In addition, dangling bonds can generate the silicon-hydrogen bond (Si-H) increased in the silicon carbide film of deposition.The Si-C key of breaking-up can To be replaced under direct plasma sedimentary condition with Si-H.The presence of Si -- H bond in silicon carbide film can be generated with bad electricity The film of performance.For example, the presence of Si -- H bond can reduce breakdown voltage, and it will increase leakage current, because Si -- H bond provides for electronics Leakage paths.
In addition, dangling bonds can lead to uncontrolled chemical structure or morphosis in silicon carbide film.In some cases, Such structure is that have low porosity or non-porous fine and close filament, so that film has unacceptable high dielectric constant.It is non-porous Gap rate may be direct plasma condition destroy Si-C key in cyclosiloxane and/or Si-O key as a result, otherwise will Porosity can be provided in ultra low k dielectric materials.
Sometimes it can lead to the directionality in deposition using direct plasma condition in pecvd, because of breakdown precursor point The energy of son, which can be, generates the low frequency of a large amount of ion bombardments on surface.Orientated deposition may also lead to have bad Step Coverage The deposition of silicon carbide film.Direct plasma is such plasma: wherein, plasma (electronics of debita spissitudo and Cation) it is resident during deposition close to substrate surface, it is only separated sometimes by plasma sheath with substrate surface.
Typical pecvd process is unsuitable for the depositing silicon silicon fiml on exposed copper or other metal surfaces sometimes, because This technique oxidizable metal.Oxidant can be used in the pecvd process, such as oxygen (O2), ozone (O3), carbon dioxide (CO2) or other oxidation materials to form silicon oxycarbide films.
The environment of substrate surface during deposition
Figure 1A shows the cross section for the exemplary silicon carbide film being deposited on substrate.Silicon carbide film 101 can be neighbouring It generates at substrate 100 and is formed under the process conditions of relatively mild environment.Substrate 100 can be any chip, semiconductor wafer, Integrated circuit, printed circuit board, display screen or other workpiece appropriate of part manufacture.Work for depositing silicon silicon fiml 101 Skill may include having one or more Si -- H bonds and/or one or more Si-Si bonds and with other keys (such as Si-C key, Si- O key, and/or Si-N key) it is one or more contain silicon precursor, be specifically dependent upon the type for the doped structure to be generated.
It is shown in Figure 1B -1D using certain apply of silicon carbide film.It in some embodiments, can be with containing silicon precursor Including siliceous-oxygen precursor, siliceous-nitrogen precursor, and/or silicon-carbon containing precursor.Siliceous-oxygen precursor may include one or more Si-O Key, siliceous-nitrogen precursor may include one or more Si-N keys and silicon-carbon containing precursor may include one or more Si-C keys.? In some embodiments, for example, may include there is Si-O key and Si-C key or Si-N key and Si-C key single anti-containing silicon precursor Answer object A.It in some embodiments, may include that there is the reactant B of Si-O key or Si-N key and have containing silicon precursor The reactant C of Si-C key.It should be understood that any amount of suitable reactant can be used within the scope of the invention. Illustratively the chemical structure containing silicon precursor will be discussed in further detail below.
It include one or more Si -- H bonds and/or one or more Si-Si bonds containing silicon precursor.In depositing operation, Si-H Key and/or Si-Si bond are destroyed, and as active site, in containing between silicon precursor in the silicon carbide film 101 of deposition Form key.The key being destroyed can also be used as site, with the crosslinking in the heat treatment for being carried out during or after deposition. It is bonded in active site and is crosslinked the level-one main chain or matrix that can be collectively formed in gained silicon carbide film 101.
In some embodiments, process conditions can substantially maintain Si-C key in deposited 101 layers of silicon carbide film, And if existing Si-O key and Si-N key.Therefore, the reaction condition of adjacent substrate 100, which provides, is used for selective destruction Si-H Key and/or Si-Si bond, for example, extracting hydrogen from the Si -- H bond of destruction, but the reaction condition is not provided for mentioning from Si-O key Oxygen is taken, nitrogen is extracted from Si-N key or extracts carbon from Si-C key.However, the co-reactant for introducing such as oxygen etc can be from Si- C key extracts carbon.In general, described reaction condition be present in substrate 100 exposure (silicon carbide film 101 deposition where Face).They also may be present at a certain distance from 100 top of substrate, for example, about 0.5 micron above substrate 100 is to about At 150 millimeters.In fact, the activation of precursor can be occurred at the quite remote distance above substrate 100 with gas phase.It is logical Often, relevant reaction condition can be uniform or substantially homogeneous in the entire exposure of substrate 100, but certain applications can be permitted Perhaps some variations.
In addition to containing silicon precursor, the environment of workpiece (for example, substrate 100) nearby may include one or more free radical materials, It is preferably in the free radical material of substantial lower state.The example of these substances includes hydrogen atom free radical.In some embodiment party In case, all or essentially all of or sizable parts in hydrogen atom free radical can be in ground state (ground State), for example, at least about 90% or 95% hydrogen atom free radical near workpieces is in ground state.In certain embodiments In, gas source is provided in carrier gas (such as helium).As an example, hydrogen can be in helitnn carrier with the concentration of about 1-10% Hydrogen is provided.The ratio and other process conditions of pressure, carrier gas (such as helium) are selected, so that hydrogen atom is used as in without recombination The free radical of lower state collide substrate 100.
As other places are explained, it is free to generate hydrogen atom free radical or hydrogen that hydrogen can be fed into remote plasma source Base.The hydrogen atom free radical once generates, so that it may under excitation energy state.For example, the hydrogen in excitation energy state can Energy at least 10.2eV (the first excited state).The hydrogen atom free radical of excitation can cause containing the non-selection of silicon precursor Property decompose.For example, the hydrogen atom free radical in excited state can destroy Si -- H bond, Si-Si bond, Si-N key, Si-O easily Key and Si-C key, the composition or physical characteristic or electrical characteristic of this changeable silicon carbide film 101.In some embodiments, when When the hydrogen atom free radical of excitation loses its energy or sustained release (relax), the hydrogen atom free radical of the excitation can become essence The hydrogen atom free radical of upper lower state or the hydrogen atom free radical of ground state.In the hydrogen atom of substantial lower state or ground state freedom Base can selectively destroy Si -- H bond and Si-Si bond, and usually retain Si-O key, Si-N key and Si-C key.In some implementations In scheme, it is possible to provide process conditions, so that the hydrogen atom free radical of excitation loses energy or sustained release to form substantial lower state Or the hydrogen atom free radical of ground state.For example, remote plasma source or associated component can be configured to so that from remote The residence time that journey plasma source is diffused into the hydrogen atom free radical of substrate 100 is greater than the energy of the hydrogen atom free radical of excitation Slow-release time (energetic relaxation time).The energy slow-release time of the hydrogen atom free radical of excitation can be about Equal to or less than about 1 × 10-3Second.
Sizable state for being partially in ground state of hydrogen atom free radical can be realized by various technologies.Such as following institute It states, some devices are designed to realize this state.Device characteristic and process control features can be tested and adjusted to generate temperature And state, sizable part under the mild shape in hydrogen atom free radical are in ground state.For example, device can for etc. The charged particle in plasma source downstream (that is, near substrate 100) is operated and is tested.The technique and device can be adjusted Section, until any charge species are nearby substantially absent in substrate 100.In addition, the feature of device and technique can be adjusted into A kind of configuration, these devices and technique start to generate silicon carbide film from standard precursors (such as trimethyl silane) in the configuration 101.The relatively mild condition of this film deposition is supported in selection.
Other examples of free radical material include: oxygen carrier, such as element oxygen radical (atom or diatomic);Nitrogenous object Matter, such as element nitrogen free radical (atom or diatomic);With free radical containing N-H, such as ammonia free radical, wherein nitrogen is optionally incorporated into Film.The example of free radical containing N-H includes but is not limited to the free radical of methylamine, dimethylamine and aniline.Above-mentioned free radical material can To be prepared by including the source gas of hydrogenous material, nitrogen substance, substance containing N-H or their mixture.In some embodiment party In case, the essentially all or most atom of the film deposited is provided by precursor molecule.In this case, it is used for The free radical for driving the low energy of deposition reaction can be entirely hydrogen or other not make contributions to the quality of sedimentary substantially Substance.In some embodiments, as being discussed in further detail below, free radical material can pass through remote plasma Body source generates.In some embodiments, the free radical of higher energy state or even ion can potentially exist in crystalline substance Near plate plane.
In some embodiments, process conditions are used in the free radical material of substantial lower state, lower state foot To destroy Si -- H bond and/or Si-Si bond, while being kept substantially Si-O key, Si-N key and Si-C key.Such process conditions can Can not have a large amount of ion, electronics or the free radical material for being in higher-energy state (state on such as ground state).Some In embodiment, ion concentration no more than about 10 in the region of adjacent membrane7/cm3.A large amount of ions or high-energy free radical are deposited Can be intended to destroy Si-O key, Si-N key and Si-C key, this there may be with nonideal electrical characteristics (for example, high dielectric Constant and/or low breakdown voltage) and conformability difference film.It is believed that the environment of overactivity, which generates, has high sticking coefficient (table Show chemically or physically to adhere to the tendentiousness of workpiece side wall) active precursor segment, it is poor so as to cause conformability.
In the environment of adjacent substrate 100, other materials (especially carrier gas) is usually used to convey containing silicon precursor.In some realities It containing silicon precursor is existed together with free radical material and other materials (including other active materials and/or carrier gas) in existing mode 's.In some embodiments, it can be introduced as mixture containing silicon precursor.In the upstream on deposition reaction surface, this is siliceous Precursor can be mixed with inert carrier gas.The example of inert carrier gas includes but is not limited to argon (Ar) and helium (He).In addition, can containing silicon precursor Have in the main and mixture of minor materials to be introduced into, containing some elements or structure feature (for example, ring structure, cage Shape structure, unsaturated bond etc.) minor materials be present in silicon carbide film 101 with relatively low concentration.A variety of precursors can wait Mole or relatively similar ratio exist, be suitable for the level-one main chain being formed in obtained silicon carbide film 101 or matrix.? In other embodiments, the relative quantity of different precursors deviates significantly from equimolar ratio (equimolarity).
In some embodiments, one or more that deposited silicon carbide film 101 is generally provided containing silicon precursor All quality, wherein a small amount of hydrogen or the other elements from remote plasma provide the film quality less than about 5% atom Or the film quality less than about 2% atom.In some embodiments, only free radical material and it is one or more contain silicon precursor pair The component of the silicon carbide film 101 deposited is made contributions.In other embodiments, deposition reaction include other co-reactants and It is non-one or more containing silicon precursor and free radical material.The example of such co-reactant includes carbon dioxide (CO2), one oxidation Carbon (CO), water (H2O), methanol (CH3OH), oxygen (O2), ozone (O3), nitrogen (N2), nitrous oxide (N2O), ammonia (NH3), phenodiazine Alkene (N2H2), methane (CH4), ethane (C2H6), acetylene (C2H2), ethylene (C2H4), diborane (B2H6) and their combination. Such material is used as nitridizing agent, oxidant, reducing agent etc..In some cases, they can by remove with it is siliceous A part in precursor carbon provided together is come for adjusting the carbon amounts in deposited film.Using the one of non-hydrogen co-reactant In a little embodiments, co-reactant is introduced in reaction chamber by flow path identical with silicon precursor is contained;E.g., including spray head Path, be generally not directly exposed to plasma.In some embodiments, oxygen and/or carbon dioxide together with precursor by It introduces, to pass through the component for changing silicon carbide film 101 except carbon elimination from film or precursor during deposition.Anti- altogether using non-hydrogen It answers in some implementations of object, co-reactant is introduced into reaction chamber via flow path identical with hydrogen, so that coreaction Object is converted at least partly into free radical and/or ion.In such implementation, hydroperoxyl radical and co-reactant free radical All with one or more siliceous precursors reactions, the silicon carbide film 101 deposited with generation.
Using co-reactant and co-reactant is introduced into the substance (for example, hydrogen) to be converted for free radical together Into certain embodiments of room, co-reactant can with in reaction chamber include radical source (such as hydrogen) and it is any or Other gas phases including a variety of carrier gas (such as helium) are provided in reaction chamber than relatively little of amount.For example, co-reactant can be with With about 0.05% less mass ratio or about 0.01% less mass ratio or about 0.001% or less mass ratio exist In process gas.For example, reaction-ure mixture (it is entered in plasma source) can be about 10-20 liters/min (L/m) He, about 200-500 standard cubic centimeters per minute (sccm) H2And the oxygen of about 1-10sccm.When co-reactant with it is siliceous When (for example, passing through spray head) is introduced in reaction chamber together, co-reactant can exist precursor with higher concentration;For example, about 2% or less or about 0.1% or less.When co-reactant is relatively weak reactant (for example, weak oxidant, such as titanium dioxide Carbon) when, can exist with even higher concentration, for example, about 10% or 10% or less or about 4% or 4% or less.
Temperature in the environment of adjacent substrate 100 can be promote deposition reaction any suitable temperature, but sometimes by It is limited to the application of the equipment containing silicon carbide film 101.In some embodiments, neighbouring during the deposition of silicon carbide film 101 Temperature in the environment of substrate 100 can be carried out largely by being supported by the temperature of the pedestal of substrate 100 above Control.In some embodiments, operation temperature can be between about 50 DEG C and about 500 DEG C.For example, in many integrated electricity Operation temperature can be between about 250 DEG C and about 400 DEG C in the application of road.In some embodiments, improving temperature can be with The crosslinking on substrate surface is caused to increase.
Pressure in the environment of adjacent substrate 100 can be any conjunction for generating living radical in the reaction chamber Suitable pressure.In some embodiments, pressure can be about 35 supports or lower.For example, such as generating plasma using microwave Embodiment in, pressure can be between about 10 supports and about 20 supports.In other examples, such as raw using radio frequency (RF) At in the embodiment of plasma, pressure be can be less than about 5 supports or between about 0.2 support and about 5 supports.
Figure 1B -1D shows the cross section of the structure containing silicon carbide film in numerous applications.Figure 1B is shown in crystal Silicon carbide vertical structure on the side wall of the gate electrode structure of pipe.Fig. 1 C shows sudden and violent in the metalization layer of air-spaced type Silicon carbide vertical structure on the copper wire side wall of dew.Fig. 1 D shows the carbonization silicon hole sealant for porous media material.This Each of a little applications will be discussed in further detail below.
The chemical structure of precursor
As discussed, the precursor used in forming silicon carbide film may include containing silicon precursor, and wherein this contains silicon precursor At least some of have at least one Si -- H bond and/or at least one Si-Si bond.In certain embodiments, contain silicon precursor There is an at most hydrogen atom on each silicon atom.Thus, for example, the precursor with a silicon atom, which has, is bonded to the silicon An at most hydrogen atom for atom;Tool has a hydrogen atom for being bonded to a silicon atom there are two the precursor of silicon atom and appoints Selection of land is bonded to another hydrogen atom of second silicon atom;Tool has there are three the precursor of silicon atom is bonded to a silicon atom At least one hydrogen atom and be optionally bonded to one or more hydrogen atom of one or two remaining silicon atom, etc. Deng.In addition, may include at least one Si-O key, at least one Si-N key, and/or at least one Si-C key containing silicon precursor.Though It so can use any number of precursor appropriate during forming silicon carbide film, but at least some of the precursor will include tool Have at least one Si -- H bond or Si-Si bond containing silicon precursor and optionally at least a Si-O key, Si-N key, and/or Si-C key. In various embodiments, one or more not include O-C key or N-C key containing silicon precursor;For example, one or more precursors do not wrap Containing alkoxy (- O-R), wherein R is organic group, such as alkyl or amine (- NR1R2) group, wherein R1And R2It is each independently hydrogen Or organic group.
In certain embodiments, at least some of the carbon provided for silicon carbide film is by containing one on silicon precursor Or more hydrocarbon part provide.It these parts can be selected from alkyl, alkenyl, alkynyl, aryl etc..In certain embodiments, alkyl The steric hindrance of Si-H and/or the cleavage reaction of Si-Si bond during deposition are minimized with single carbon atom.However, preceding Body is not limited to single carbon group;Greater number of carbon atom can be used, such as 2,3,4,5 or 6 carbon atoms.Certain In embodiment, alkyl is linear.In certain embodiments, alkyl is cricoid.
In some embodiments, it is fallen into chemical species containing silicon precursor.It should be understood that can also be using before siliceous Other chemical species of body, chemical species discussed below is not limited to containing silicon precursor.
In some embodiments, it can be siloxanes containing silicon precursor.In some embodiments, siloxanes can be ring Shape.Annular siloxane may include cyclotetrasiloxane, such as 2,4,6,8- tetramethyl-ring tetrasiloxanes (TMCTS), eight first rings, four silicon Oxygen alkane (OMCTS) and hexamethyl cyclotetrasiloxane (HMCTS).Other annular siloxanes can also include but is not limited to three silicon oxygen of ring Alkane and cyclopentasiloxane.Embodiment using annular siloxane is the cyclic structure that can introduce hole to silicon carbide film, The size of mesoporous corresponds to the radius of ring.For example, cyclotetrasiloxane ring can have about 6.7 angstroms of radius.
In some embodiments, siloxanes can have three-dimensional or cage structure.Fig. 2 shows cage type silxoane precursors Representative example.Cage type siloxanes has the silicon atom via oxygen atom bridging each other, to form polyhedron or any 3-D knot Structure.One example of cage type siloxanes precursor molecule is silsesquioxane.Cage type siloxane structure is total to Cleemput's et al. With being described in further detail in the United States Patent (USP) No.6576345 that possesses, the patent by reference be integrally incorporated herein with In all purposes.Similar to annular siloxane, cage type siloxanes can introduce hole to silicon carbide film.In some embodiments In, pore size is mesoporous.
In some embodiments, siloxanes can be linear.The example of suitable linear siloxane includes but unlimited In: disiloxane (such as pentamethyl disiloxane (PMDSO) and tetramethyl disiloxane (TMDSO));And trisiloxanes (such as six Methyl trisiloxanes, heptamethyltrisiloxane).
In some embodiments, alkyl silane or others be can be through alkyl substituted silane containing silicon precursor.The alkane Base silane includes central silicon atoms, has the one or more alkyl for being bonded to the central silicon atoms and is bonded to the center silicon One or more hydrogen atoms of atom.In certain embodiments, any one or more of alkyl includes 1 to 5 carbon original Son.The alkyl can be saturated or unsaturated (for example, alkene (such as ethylene), alkynes and aromatic radical).Example include but It is not limited to trimethyl silane (3MS), triethylsilane, two silicomethane ((CH of pentamethyl3)2Si-CH2-Si(CH3)3) and dimethyl Silane (2MS).
In some embodiments, it can be alkoxy silane containing silicon precursor.Alkoxy silane include central silicon atoms and The one or more alkoxies being bonded with the central silicon atoms and the one or more hydrogen atoms being bonded with the central silicon atoms. Example includes but is not limited to trimethoxy silane (TMOS), dimethoxysilane (DMOS), methoxy silane (MOS), methyl two Methoxy silane (MDMOS), diethoxymethylsilane (DEMS), dimethylethoxysilane (DMES) and dimethyl methyl oxygroup Silane (DMMOS).
In addition, disilane, three silane or other more advanced silane can replace monosilane use.From alkyl silicon alkanes The example of such disilane be hexamethyldisilane (HMDS).Another example of disilane from alkyl silicon alkanes Son may include pentamethyl disilane (PMDS).Other kinds of alkyl silane may include alkyl carbon silane, can have branch Formula paradigmatic structure, which, which has, is bonded to the carbon of silicon atom and is bonded to the alkyl of silicon atom.Example includes dimethyl three Methyl-monosilane methylmethane (DTMSM) and double-dimethylsilyl ethane (BDMSE).In some embodiments, in silicon atom One can have the carbon-containing group for being connected to it or contain hydrocarbyl group, and one in silicon atom can have the hydrogen for being connected to it former Son.
In some embodiments, nitrogenous compound, such as silicon nitridation hydrogen (such as silazane) be can be containing silicon precursor.It is logical Often, this compound contains carbon, but only with silicon atom bonding, without with nitrogen atom bonding.In certain embodiments, nitrogen It closes object and does not have any carbon-nitrogen bond.In certain embodiments, nitrogenous compound does not have any amine moiety (- C-NR1R2), Middle R1And R2It is identical or different group, such as hydrogen atom and alkyl (such as alkyl, alkenyl or alkynyl).Before suitable silicon-nitrogen The example of body includes various silazane, such as cyclic annular and linear silazane, is contained one or more former with one or more silicon The hydrocarbon part and one or more hydrogen atoms with one or more silicon atom bondings that sub-key is closed.The example of silazane includes methyl Substituted disilazane and three silazane, such as three silazane of tetramethyl-disilazane and hexamethyl.
It is a variety of to may be present in process gas containing silicon precursor during depositing silicon silicon.For example, siloxanes and alkyl silane It can be used together or siloxanes can be used together with alkoxy silane.The relative scale of each precursor can be based on selected The application of the chemical structure for the precursor selected and resulting silicon carbide film is selected.For example, discuss in greater detail below, silicon The molar percentage of oxygen alkane amount can be greater than the molar percentage of silane amount, to generate perforated membrane.
In order to deposit the silicon carbide film through oxygen doping, the example of appropriate precursors includes cyclosiloxane, such as cyclotetrasiloxane (example Such as heptamethylcyclotetrasiloxane (HMCTS) and tetramethyl-ring tetrasiloxane).Other annular siloxanes can also include but is not limited to Cyclotrisiloxane and cyclopentasiloxane.In order to deposit the silicon carbide film through oxygen doping, other examples of appropriate precursors include linear Siloxanes, such as, but not limited to, disiloxane, such as pentamethyl disiloxane (PMDSO), tetramethyl disiloxane (TMDSO), six Methyl trisiloxanes and heptamethyltrisiloxane.
As explained above, the silicon carbide film of high conformal is selected to provide containing silicon precursor.It is believed that having low sticking coefficient The film that high conformal can be generated containing silicon precursor." sticking coefficient " is for describing to be adsorbed onto/adhere in same time period The art for the ratio that the quantity of adsorbent (for example, segment or molecule) and the substance sum of impact surface to the surface are compared Language.Symbol ScSometimes it is used to refer to sticking coefficient.ScValue be (to mean no any substance adherency) between 0 and 1 (means institute Some shock substance adherency) between.Many factors influence sticking coefficient, and type, surface temperature, surface including hitting substance are covered The kinetic energy of lid, the CONSTRUCTED SPECIFICATION on surface and shock substance.Cucumber person's character more has " adhesiveness " than other materials, so that They are more likely to be adsorbed onto surface when each substance impact surface.These more have the substance of adhesiveness to have bigger sticking coefficient (all other factor is identical), and be more likely to be adsorbed on compared with the more inadhesive stickum with lower sticking coefficient The entrance of recessed features.In some cases, the sticking coefficient (under relevant sedimentary condition) of precursor can be about 0.05 Or it is smaller, for example, about 0.001 or smaller.
The silicon carbide film of gradual change
Technology node constantly reduces in integrated circuit manufacturing industry.For each technology node, device geometries reduce, And spacing becomes smaller.High-aspect-ratio gap in this technology node may need fill insulant, such as with low The insulating materials of dielectric constant (low k).The integrated operation of semiconductor can be related to filling high-aspect-ratio gap with low k dielectric.This It is the situation for shallow trench isolation, metal intermetallic dielectric layer, passivation layer etc..
For example, device feature can laterally reduce from 45 nanometers of (nm) technology nodes to 14 nm technology nodes, make conduction material Material is increasingly closer to.Unwanted conductive coupling may occur, when conductive material is increasingly closer to so as to lead to parasitism The delay and the signal cross-talk due to caused by capacity effect that capacitor, signal are propagated.However, as technology node becomes smaller, The low-k materials of interlayer dielectric (ILD) as conductive interconnection part can reduce parasitic capacitance, signal delay and signal cross-talk. Some including fin formula field effect transistor (fiNFET) structure and dynamic random access memory (DRAM) bit architecture answer It uses and needs low-k materials as sidewall spacer material.
In many Application of integrated circuit, silicon nitride (Si3N4) due to its step coverage, thermal stability, etch capabilities and Elching resistant and high-breakdown-voltage and be commonly used as insulating materials.However, the dielectric of silicon nitride is normal as technology node becomes smaller Number (about 7-8) may be too high.
Silica (SiO2) there is lower dielectric constant, about 4.0, and the interlayer dielectric as conductive interconnection part Significantly reduced capacitor can be provided.However, silica may not have to the etching operation in the integrated operation of various devices Enough patience or selectivity.
Carbofrax material including including doped silicon carbide material and undoped with carbofrax material may be used as integrated circuit Insulating materials in not only provides low-k, but also provides step coverage, thermal stability, resistance to wet etching, To the dry etch selectivity and high-breakdown-voltage of oxide/nitride.For example, addition oxygen atom and/or nitrogen-atoms are adjustable The property of carbofrax material.In some embodiments, the silicon carbide film through oxygen doping may be used as in Application of integrated circuit Insulating materials provides low-k, resistance to wet etching to be subjected to the integrated operation of device and to oxide/nitride Dry etch selectivity.
For alloing the various technologies of thin film densification to improve the property of film.Usually using thermal anneal process and directly Corona treatment completes the densification of material.Direct plasma processing or PECVD processing can be made by ion bombardment Thin film densification, wherein inert gas species or reactant gas substance (example can be used in direct plasma or PECVD processing Such as ammonia (NH3), nitrogen (N2) or oxygen (O2)).One of film can be converted using the ion bombardment of reactant gas substance Or the carbon in multiple element, such as silicon carbide film, the nitrogen in silicon nitride film or the oxygen in silicon oxide film.It is, for example, possible to use NH3 Plasma come make SiCN film densify.From NH3The ion and free radical of plasma facilitate film densification, but direct Ion dam age may occur during corona treatment.Moreover, utilizing the film deposited in feature (for example, groove, groove etc.) Direct plasma processing, film densification may be it is non-uniform, wherein the densification of film may be at the top and bottom of feature Portion is bigger than the side-walls in feature.
When manufacturing integrated circuits, silicon carbide film can undergo the integrated operation of one or more devices.This device is integrated Operation may include various depositions, etching, cleaning/removing and annealing process.In the integrated operation of device, silicon carbide film can be through The technique for going through etching, ashing and/or annealing.For example, silicon carbide film can undergo one or many wet etchings, SiO2Dry method It etches, the technique of the Oxygen plasma ashing of photoresist and steam annealing.Accordingly, it may be desirable to develop with improvedization Learn stability, relative to SiO2Improved dry etching selectivity, improved plasma ash content resistance and improved heat it is steady Qualitative silicon carbide film.The silicon carbide film of densification can also provide above-mentioned change if it cannot provide all above-mentioned improvement At least some of into.
Compared with the silicon carbide film not densified, the silicon carbide film of densification has bigger Si-C and/or Si-O key Bond densities.Densification can remove hanging silicon and/or carbon key and the crosslinking for promoting silicon and/or carbon atom.In general, deposition The chemical structure of silicon carbide film may include several terminal methyl group (CH3) and increased hydrogen content.For example, before densification The silicon carbide film through oxygen doping may include several end CH3Key and it can have high hydrogen content.Hydrogen atom can be with oxygen Atomistic binding is to form silanol (Si-OH), and hydrogen atom can form end Si -- H bond with silicon atom bonding.Fig. 4 A is shown The example of the chemical structure of silicon carbide through oxygen doping before densification.As shown in Figure 4 A, through the silicon carbide structure of oxygen doping Including multiple end CH3Key, wherein carbon atom is coordinated by oxygen and hydrogen atom.Many carbon atoms or at least most of carbon atom are not Crosslinking.
The remote plasma treatment of silicon carbide film can increase the bond densities of Si-C key and reduce end CH3Key and The quantity of Si -- H bond, so that silicon carbide film be made to densify.Remote plasma treatment can be from end CH3Key and Si -- H bond remove Hydrogen atom simultaneously promotes crosslinking to form at least Si-C key.Si-Si bond can also be by being cross-linked to form.From Si-CH3The hydrogen of group It can remove and be crosslinked during processing to form Si-C-Si key.In some embodiment party for the silicon carbide film for wherein depositing oxygen doping In case, densification passes through removal end CH3Key, Si-OH key and Si -- H bond and the key density for increasing Si-O and Si-C key.It is long-range etc. Gas ions processing can extract hydrogen from the silicon carbide film for mix oxygen and promote to be crosslinked, so as to form more Si-O and Si-C Key.Si-CH3The hydrogen of group can be removed and is crosslinked during processing to form Si-C-Si key.It can remove during processing The hydrogen of Si-OH group and Si -- H, these groups can be crosslinked to form Si-O-Si key.In addition, remote plasma treatment The hydroxyl of Si-OH group can be made to react to form water (H2O it) and is cross-linked with each other to form Si-O-Si key.It should be appreciated that long-range Corona treatment can lead to other possible mechanism or other possible reaction paths, for increasing Si-O and Si-C key Key density, and reduce end CH3The amount of key, Si-OH key and Si -- H bond.Fig. 4 B shows the silicon carbide of oxygen doping after densification Chemical structure example.As shown in Figure 4 B, the silicon carbide film through oxygen doping includes with little to no CH3Key, wherein carbon atom Usually it is crosslinked and is coordinated by silicon atom.At least most of in carbon atom or carbon atom is crosslinking and not by hydrogen or oxygen atom Coordination.
Without wishing to be bound by any theory, increased Si-C key density can improve certain properties of silicon carbide film.Some In embodiment, increased Si-C and Si-O key density can improve certain properties of the silicon carbide film through oxygen doping.In densification After change, doped or undoped silicon carbide film can have higher chemical and thermal stability.For example, the doping after densification Or undoped silicon carbide film can show low etch-rate, the high etch-selectivity relative to silica, high O2Plasma Body is ashed one of resistance and high thermal stability or a variety of properties.
Remote plasma treatment, which can be used, in the disclosure densifies silicon carbide film, and in certain embodiments, Silicon carbide film is densified using long-range hydrogen plasma process.It should be appreciated that the silicon carbide film of the disclosure includes undoped The silicon carbide film of silicon carbide film and doping, such as silicon oxide carbide (SiCO) film, carbonitride of silicium (SiCN) film and carbon silicon oxynitride (SiONC) film.The silicon carbide film of densification can show improved chemical stability, thermal stability, elching resistant, relative to The selectivity and plasma ashing resistance of oxide/nitride.In some embodiments, silicon carbide film is in a manner of layer-by-layer Densification.Film density and composition across the silicon carbide film of film thickness can be controlled in layer-by-layer technique.Use remote plasma Body processing carries out the film that densification provides more evenly to silicon carbide film in layer-by-layer method and densifies, while minimizing direct It may be damaged as caused by ion bombardment in corona treatment.
Fig. 5 A-5D shows each stage for depositing and densifying silicon carbide film on substrate.Deposition and remote plasma Body processing cycle can occur in an alternating manner to form the silicon carbide film of densification.It can be with different, less or additional Operation execute operation shown in Fig. 5 A-5D.
Fig. 5 A shows the cross section for the exemplary silicon carbide film being deposited on substrate.The silicon carbide film of first thickness 501 It can be deposited on substrate 500.Substrate 500 can be any chip, semiconductor wafer, the integrated circuit of part manufacture, printing Circuit board, display screen or other workpiece appropriate.In some embodiments, substrate 500 may include multiple high aspect ratio features, Wherein each feature can have greater than 2:1, greater than 5:1 or greater than the depth-to-width ratio of 10:1.The first thickness 501 of silicon carbide film can be with It is deposited with aspect ratio features high in this way, there is good Step Coverage.Good Step Coverage can be used for various integrated circuits Using, such as sidewall spacer application.
The first thickness 501 of silicon carbide film can be controlled, according to scheduled sedimentation time to realize desired thickness.? In some embodiments, sedimentation time can be between about 5 seconds and about 500 seconds, or between about 10 seconds and about 200 seconds. In some embodiments, the expectation thickness of first thickness 501 can be aboutPeace treatyBetween.Sedimentation time can To correspond to the expectation thickness of first thickness 501.Can control first thickness 501 enable to it is fully penetrated subsequent long-range Corona treatment is so that first thickness 501 densifies.
The silicon carbide film of first thickness 501 can occur using remote plasma deposition technique previously described herein Deposition.In some embodiments, by making one or more flow into reaction chamber towards substrate 500 containing silicon precursor deposit the One thickness 501, and make one or more free radicals of source gas from remote plasma source flow with it is one or more it is siliceous before Precursor reactant continues first time period (for example, sedimentation time).The free radical of source gas when with one or more siliceous precursors reactions, It may be at lower state or ground state.It is provided in the environment of adjacent substrate 500 with one or more reacting containing silicon precursor opposite Mild process conditions.
In some embodiments, free radical can be generated by source gas, and the source gas includes hydrogen, nitrogen, the object containing N-H Matter (such as NH3), oxygen, oxygen carrier (such as H2O、CO2Or N2Or mixtures thereof O),.For example, source gas may include hydrogen.Free radical In major part can be the free radical in substantial lower state, such as the free radical of ground state.In substantial low energy The hydroperoxyl radical of state or ground state can selectively destroy Si-H and Si-Si bond, while usually retain Si-O, Si-N and Si-C Key.In some embodiments, at least 90% in the free radical of source gas is the hydroperoxyl radical in ground state.It can be by various Technology realizes the state for allowing most of hydroperoxyl radical to be in substantial lower state or ground state.Some devices, such as be described below , it is designed to realize this state.
Foregoing process conditions are applicable to using heavy with one or more remote plasmas containing silicon precursor Product carrys out depositing silicon silicon fiml.Such as pressure, temperature, RF power, air-flow and gas componant etc can be adjusted during deposition Process conditions are to influence the characteristic of remote plasma.
In some embodiments, the pressure in the environment of adjacent substrate 500 can be anti-to generate in the reaction chamber Answer any suitable pressure of free love base.For example, pressure can be about 35 supports or lower, for example, about 10 supports to about 20 supports it Between or in about 0.2 support between about 5 supports.
In some embodiments, the temperature in the environment adjacent with substrate 500 can be to promote any of deposition Suitable temperature.For example, temperature can be between about 50 DEG C to about 500 DEG C or between about 250 DEG C to about 400 DEG C.
In some embodiments, the RF power for being applied to remote plasma source can be according to the class of plasma source Type, wafer size and other operating conditions and change.In general, for example, the RF of the inductively coupled plasma body for 300mm chip Power can be between about 300 watts between about 10 kilowtts, or between about 1 kilowtt between about 6 kilowtts.It can apply Add higher RF power to generate more free radicals in remote plasma source.
In some embodiments, the gas composition during long-range hydrogen plasma deposition may include one or more contains Silicon precursor.Each one or more containing in silicon precursor include at least one Si-H and/or at least one Si-Si bond.In addition, Each it may also include at least one Si-O key, at least one Si-N key and/or at least one Si-C key containing silicon precursor.In some realities It applies in scheme, every kind does not include O-C or N-C key containing silicon precursor;For example, precursor is free of alkoxy (- O-R), wherein R is organic group Group's (such as alkyl) or amine (- NR1R2) group, wherein R1And R2Individually hydrogen or organic group.It can choose one or more siliceous Precursor is to adjust forming and realizing high step coverage for silicon carbide film.In some embodiments, described one or more It is selected from containing each in silicon precursor: annular siloxane, linear siloxane, alkoxy silane, alkyl silane and silazane.Example Such as, one or more to form silicon carbide film, the silicon carbide film through oxygen doping, the silicon carbide through N doping or through oxygen containing silicon precursor When with the carborundum films of N doping, it may include alkyl carbon silane, linear siloxane, annular siloxane, silazane or its is any Combination.The essentially all of quality of the silicon carbide film of one or more first thickness 501 that deposition can be provided containing silicon precursor, Wherein a small amount of hydrogen from remote plasma or other elements, which provide, is less than about 5% atom or the film less than about 2% atom Quality.
In some embodiments, other than in addition to one or more containing silicon precursor, during long-range hydrogen plasma deposition Gas composition may include one or more co-reactants.Can by with will be a kind of or more containing the identical flow path of silicon precursor Kind of co-reactant introduces reaction chamber, and one or more of them co-reactant is not exposed to plasma, or can by with it is remote One or more co-reactants are introduced reaction chamber, one or more of them co-reactant by the identical flow path of journey plasma It is exposed to plasma.Co-reactant be can choose to adjust the ingredient of the silicon carbide film of first thickness 501.Depending on coreaction The selection of object, co-reactant can increase or decrease the carbon, oxygen or nitrogen content of silicon carbide film.Co-reactant may include CO2、CO、 H2O、CH3OH、O2、O3、N2、N2O、NH3、N2H2、CH4、C2H6、C2H2、C2H4、B2H6Or combinations thereof.In some cases, Yi Zhonghuo A variety of co-reactants may include CO2、O2、N2、NH3Or combinations thereof.It is one or more total with other gas phase ratios in reaction chamber Reactant can introduce reaction chamber with relatively small amount, other gases include the source gas and any carrier gas of free radical.
In some embodiments, the gas composition during remote plasma deposition may include one or more loads Gas.Particularly, source gas can be provided with carrier gas.The example of carrier gas includes but is not limited to helium, neon, argon, krypton and xenon.The concentration of carrier gas The concentration of source gas can be noticeably greater than.For example, hydrogen can be provided in helium carrier gas with the concentration of the hydrogen of about 1-10%.Carrier gas In the presence of the ionization that can help to increase source gas and reduce compound.Although lower pressure typically facilitates the ionization of increase source gas simultaneously Reduce compound, but the presence of carrier gas can serve the same role.In this way, even if under higher pressure, when such as helium etc Carrier gas when being flowed together with source gas, can also with it is the smallest it is compound generate free radicals in major part.Deposit present invention room In higher pressure can improve the conformality of silicon carbide film.Higher pressure in reaction chamber can correspond to greater than about 3 supports or The greater than about pressure of 5 supports, for example, about 7 supports.
It should be appreciated that the composition of silicon carbide film can be according to the selection of precursor, the flowing of precursor and the flowing of co-reactant And change.In some embodiments, the internal structure of precursor is kept in the film deposited.This structure can be in the precursor In middle reservation Si-C key and Si-O key and/or Si-N key (if present) wholly or largely, while by being present in The Si -- H bond of precursor molecule and/or the key of Si-Si bond position and/or pass through the additional condensation reaction on growing surface (provided that enough thermal energy) links or is crosslinked an other precursor portions.
Fig. 5 B shows the cross section of the silicon carbide film of Fig. 5 A densified by remote plasma treatment.By first After the silicon carbide film of thickness 501 is deposited on substrate 500, by first thickness 501 be exposed to remote plasma treatment 510 with Densify first thickness 501.Remote plasma source for generating the free radical of source gas during deposition can be also used for The free radical of source gas is generated during processing.Therefore, silicon carbide film deposition can be identical as the reaction chamber that silicon carbide film is handled Reaction chamber in carry out.This makes it possible to execute alternate deposition and processing cycle in same tool.As a result, can not introduce In the case where vacuum breaking (for example, air destruction), deposits first thickness 501 and expose the silicon carbide film of first thickness 501 In remote plasma treatment 510.Vacuum breaking can reduce yield and oxidation is introduced into semiconductor devices, this will lead to resistance Higher and reduced performance.
The densification of the silicon carbide film of first thickness 501 can be realized by remote plasma treatment 510.By first It may include: that source gas is made to flow into remote plasma source that the silicon carbide film of thickness 501, which is exposed to remote plasma treatment 510, The free radical of the source gas in remote plasma source is generated from source gas, and the free radical of source gas is made to flow to the carbon of first thickness 501 SiClx film.In some embodiments, the silicon carbide film of first thickness 501 remote plasma treatment 510 is exposed to also to wrap Including flows inert gas together with source gas.In some embodiments, source gas may include hydrogen, nitrogen, the substance containing N-H (such as NH3), oxygen, oxygen carrier (such as H2O, CO2Or N2Or mixtures thereof O),.For example, source gas may include hydrogen.
Not conveying in the case where containing silicon precursor, the silicon carbide film of first thickness 501 is exposed at remote plasma Reason 510.In other words, make one or more siliceous precursor flows although the deposition of the silicon carbide film of first thickness 501 is related to, It is that the flowing containing silicon precursor stopped to the processing of the silicon carbide film of first thickness 501.However, remote plasma treatment 510 First thickness can be handled by the way that the free radical of substantial low-energy state to be transported to the silicon carbide film of first thickness 501 501 silicon carbide film.Major part in free radical can be the free radical in substantial low-energy state (such as ground state).? In some embodiments, at least 90% in the free radical of source gas is the hydroperoxyl radical in ground state.At remote plasma During reason 510, except other gases, such as one or more coreaction gases and inert carrier gas, the free radical of source gas The silicon carbide film of first thickness 501 can be flowed to.Remote plasma treatment 510 can remove the Si-CH in silicon carbide film3Base Group, Si-OH group and hydrogen in Si -- H simultaneously promote to be crosslinked to increase the generic key density of Si-C and/or Si-O key.
It can be controlled according to the scheduled processing time and densify first thickness 501 using remote plasma treatment 510 Silicon carbide film.In some embodiments, the processing time can between about 2 seconds and about 100 seconds, or between about 5 seconds and Between about 50 seconds.The longer processing time can correspond to the densification of enhancing.The determination of processing time may depend on the first thickness The thickness of degree 501, wherein thicker layer may need the longer processing time so that layer more full densification.
It, can also be by adjusting one or more process conditions (such as pressure, RF power, gas other than handling the time Stream and gas composition) control densification and treatment effeciency.Pressure, RF can be adjusted during remote plasma treatment 510 Power, air-flow, gas composition and other process conditions are to influence the characteristic of remote plasma, so as in first thickness Different key density is generated in 501 silicon carbide film.
Pressure in adjustable 510 present invention room of remote plasma treatment is to increase the ionization of source gas and shorten source The residence time of the free radical of gas.The compound influence of free radical will be reduced by shortening the residence time.Lower pressure enables molecule It moves more quickly than, this leads to ionization increase, the compound reduction of shorter residence time and free radical of source gas.In some embodiments In, pressure can be between about 0.2 support and about 5 supports, or between about 1 support and about 3 supports.It will be appreciated, however, that remote Pressure in 510 present invention room of journey corona treatment can be greater than 3 supports or be greater than 5 supports, wherein other process conditions (examples Such as, inert carrier gas) lead to enough residence times ionized and shorten.
The adjustable RF power that remote plasma source is applied to during remote plasma treatment 510, to increase The generation of the free radical of source gas.The RF power of increase will increase the ionization of source gas, to generate the free radical of most of source gas.It is logical Often, for example, the RF power of the inductively coupled plasma body for 300mm chip can about 300 watts and about 10 kilowtts it Between, or between about 1 kilowtt and about 6 kilowtts.
During remote plasma treatment 510, source gas can be flowed with inert carrier gas.Inert carrier gas may include but It is not limited to helium, neon, argon gas, Krypton and xenon.The concentration of inert carrier gas can be noticeably greater than the concentration of source gas.In some realities It applies in scheme, source gas can be between about 1% and about 10%, or between about 2% and about 5% relative to the concentration of inert carrier gas Between.Without wishing to be bound by any theory, it is that inert carrier gas has that inert carrier gas, which has the reason of significant higher concentration relative to source gas, Help " Penning ionization ", so as to cause source gas significantly dissociate and generate free radicals in major part.It is significant greater concentrations of lazy Property carrier gas can also make the compound minimum of free radical.For example, hydrogen can be provided with the concentration of about 1-10% hydrogen in helium carrier gas In.Inert carrier gas (such as helium) with lower molecular weight can be contributed than the inert carrier gas with higher molecular weight with higher The Penning ionization of efficiency.In addition, making the inert carrier gas (such as helium) with low molecular weight and the low concentration relative to inert carrier gas Source gas (for example, a small amount of hydrogen in helium, density of hydrogen is about 1-10%) is even if flow under high pressure (for example, being greater than 3 supports) It is also generated from by the major part in base, while making compound minimum.Therefore, the presence of the suitable inert carrier gas of debita spissitudo can Help to make the silicon carbide film of first thickness 501 to densify, but regardless of reaction chamber pressure how.
One or more co-reactant flowings can be made to adjust first thickness during remote plasma treatment 510 The key density and composition of 501 silicon carbide film.It can will be one or more by flow path identical with remote plasma Co-reactant introduces reaction chamber, and one or more of them co-reactant is exposed to plasma.Depending on the selection of co-reactant, Co-reactant can increase or decrease the oxygen, nitrogen or carbon content of silicon carbide film.Co-reactant may include CO2、CO、H2O、CH3OH、 O2、O3、N2、N2O、NH3、N2H2、CH4、C2H6、C2H2、C2H4、B2H6Or combinations thereof.In some cases, one or more anti-altogether Answering object may include CO2、O2、N2、NH3Or combinations thereof.The presence of oxygen or oxygen radical is tended to extract carbon from Si-C key.Change sentence It talks about, the presence of oxygen can convert oxide for carbide.Carbon elimination can be removed in silicon precursor from containing on substrate, and one In a little situations, carbon can be replaced with oxo.Therefore, increase the oxygen in remote plasma during remote plasma treatment 510 Concentration can effectively adjust the carbon content of the silicon carbide film of first thickness 501.Additionally or alternatively, nitrogen or nitrogen free radical Presence tend to from Si-C key extract carbon.Therefore, increase in remote plasma during remote plasma treatment 510 Nitrogen concentration can effectively adjust the carbon content of the silicon carbide film of first thickness 501.
Fig. 5 C shows the cross section of the silicon carbide film of Fig. 5 B, and wherein second thickness is deposited on the silicon carbide film of first thickness On.The second thickness 502 of silicon carbide film can be deposited on the silicon carbide film of first thickness 501.Deposit the behaviour of second thickness 502 Making can be identical or at least similar as the deposition operation of first thickness 501.In other words, the process for depositing second thickness 502 can With the process of repeated deposition first thickness 501.For example, if deposition first thickness 501 is one or more containing silicon precursor including making The one or more groups for the source gas for flowing into reaction chamber and generating remote plasma source are flowed to contain with one or more Silicon precursor, which reacts, continues first time period, then depositing second thickness 502 includes repeating aforesaid operations to continue second time period.One In a little embodiments, first time period is identical as second time period.In some embodiments, first time period is different from second Period.The different periods can correspond to different thickness.In some embodiments, the thickness of second thickness 502 can Between aboutTo about Between.In addition, control sedimentation time and various process conditions (such as pressure, temperature, RF function Rate, air-flow and gas composition) adjustable second thickness 502 silicon carbide film thickness and composition.Therefore, for depositing second Sedimentation time, pressure, temperature, RF power, air-flow and the gas composition of the silicon carbide film of thickness 502 can with for deposit first The sedimentation time of the silicon carbide film of thickness 501, pressure, temperature, RF power, gas flow and gas composition are identical or different.
Fig. 5 D shows the cross section for the silicon carbide film of Fig. 5 C densified by remote plasma treatment.By second After the silicon carbide film of thickness 502 is deposited in first thickness 501, second thickness 502 is exposed to remote plasma treatment 520 so that at least second thickness 502 densifies.The operation that second thickness 502 is exposed to remote plasma treatment 520 can be with It is identical or at least similar as the operation that first thickness 501 is exposed to remote plasma treatment 510.In other words, by second The process that thickness 502 is exposed to remote plasma treatment 520 can repeat first thickness 501 being exposed to remote plasma The process of processing 510.For example, if it includes: to flow into source gas that first thickness 501, which is exposed to remote plasma treatment 510, Remote plasma source, generates the free radical of source gas in remote plasma source from source gas, and makes the free base flow of source gas To the first thickness 501 of silicon carbide film, then second thickness 502 is exposed to remote plasma treatment 520 includes in silicon carbide Aforesaid operations are repeated in the second thickness 502 of film.In some embodiments, the processing time is identical.In some embodiment party In case, the processing time is different.The processing time can be enough the silicon carbide film for making remote plasma penetrate second thickness 502. The different processing time can lead to silicon carbide film has density gradient in the whole thickness of film.In addition, control processing the time and Various process conditions (such as pressure, RF power, gas flow and gas composition) can change the silicon carbide film of second thickness 502 Treatment effeciency and densification.Therefore, the processing time of remote plasma treatment 520, pressure, RF power, gas flow are gentle Body composition can with for the processing time of remote plasma treatment 510, pressure, RF power, gas flow and gas composition It is identical or different.
With reference to Fig. 5 A-5D, doped or undoped silicon carbide film can be realized with processing cycle by alternately depositing Deposition and densification.Deposition and densification are carried out in a manner of layer-by-layer, so that each remote plasma treatment circulation can make Sedimentary densifies completely.In this way, entire film lamination can densify and have more evenly in the whole thickness of film lamination Film density.It will thus be appreciated that the deposition and processing cycle of formation silicon carbide film are not limited to operation shown in Fig. 5 A-5D, But it can continue to be repeated up to the film thickness for reaching required.The silicon carbide film of the densification of the disclosure can be in numerous applications It realizes, is included in structure shown in Figure 1B -1D and realizes, be discussed in further detail below.
With compared with not densifying silicon carbide film with layer-by-layer mode, densifying silicon carbide film using layer-by-layer mode can be produced Raw bigger total bond densities.Remote plasma treatment can be by from Si-CH3, Si-OH and/or Si -- H go dehydrogenation Atom densifies silicon carbide film, and promotes the crosslinking in the chemical structure of silicon carbide film.Remote plasma treatment can be with By increasing the key density of Si-C key and reducing end CH3The quantity of key and Si -- H bond densifies silicon carbide film.It is being related to In some embodiments of the silicon carbide film of oxygen doping, remote plasma treatment can pass through the key of increase Si-C and Si-O key Density simultaneously reduces end CH3The quantity of key, Si-OH key and Si -- H bond densifies the silicon carbide film through oxygen doping.
The process conditions for being used to form the silicon carbide film of densification can provide the membrane structure of high conformal.It is depositing and is locating Relatively mild process conditions can be minimized the ion bombardment degree at substrate surface during reason, so that deposition and processing are each To the same sex.In addition, relatively mild process conditions can reduce the quantity of the free radical with high sticking coefficient, there is high adherency The free radical of coefficient can have the tendency for the side wall for adhering to the layer or film that had previously deposited.In certain embodiments, for about The depth of 2:1 to 10:1 than width depth-to-width ratio, the silicon carbide film of densification can between about 25% and 100%, more It typically ranges between about 50% and 100% and even more typically the conformal rate between about 80% and 100% carries out Deposition.Conformal rate can be by the average thickness of the deposition film at the bottom of a feature, side wall or top and in a feature The comparison of average thickness of deposition film of bottom, side wall or top calculate.For example, conformal rate can be by feature side-wall On deposition film average thickness divided by the average thickness of the deposition film in characteristic top and multiplied by 100 to obtain percentage It calculates.For certain applications, the conformal rate between about 85% and 95% is enough.Have between about 2:1 and about 4:1 Between depth-to-width ratio feature on form densification silicon carbide some embodiments in, conformal rate be at least about 90%.It is certain BEOL (back-end) technique belongs to this kind.It is formed in the feature with the depth-to-width ratio between about 4:1 and about 6:1 In some embodiments of the silicon carbide of densification, conformal rate is at least about 80%.The depositing operation of certain bed courses belongs to this kind. The some of the silicon carbide of densification are formed in the feature with the depth-to-width ratio between about 7:1 and about 10:1 (even higher) In embodiment, the conformal rate is at least about 90%.The manufacturing process of certain DRAM belongs to this kind.
The process conditions for being used to form the silicon carbide film of densification also can provide with high-breakdown-voltage and low-leakage current Membrane structure.In some embodiments, be introduced into limited amount oxygen or nitrogen will lead into silicon carbide class material by Si -- H bond and/or Si-CH2The leakage paths that-Si key provides can be blocked by oxygen or nitrogen.Conduction pattern can be in Si-O and Si-N under low field It is different.Remote plasma treatment can provide improved electrical property, while keep relatively low dielectric constant.In a variety of implementations In scheme, film have about 6.0 or lower or about 5.0 or lower or about 4.0 or lower and in some cases about 3.5 or It is lower and in some cases about 3.0 or lower and in other embodiments about 2.5 or lower effective dielectric constant.Have Effect dielectric constant may depend on bonding and density.
Fig. 6 A shows Fourier Transform Infrared Spectroscopy (FTIR) absorption spectrum with various vibration peaks, various vibration peaks Certain Bonding Types in silicon carbide film and untreated silicon carbide film for detecting layered processing.Fig. 6 B-6E is shown The enlarged view of the various vibration peaks of FTIR absorption spectrum in Fig. 6 A, various vibration peaks are used to detect the carbon of layered processing Certain Bonding Types in SiClx film and untreated silicon carbide film.The silicon carbide film of layered processing and untreated carbon SiClx film thickness having the same.Fig. 6 B shows the increasing at the peak Si-O and the peak Si-C of the silicon carbide film handled in layer-by-layer method Add.Higher peak corresponds to the more Si-O and Si-C keys of per unit volume.Without wishing to be bound by any theory, the key of Si-C key is close The increase of degree may be by Si-CH3Caused by the crosslinking of group.The increase of the key density of Si-O key may be by Si-OH group Crosslinking caused by.
Remote plasma treatment with hierarchical operations can improve the property of silicon carbide film.For example, layered processing Silicon carbide film can show bigger than oxide/nitride dry etching selectivity.The silicon carbide film of layered processing It also can express higher thermal stability and chemical stability.In addition, the silicon carbide film of layered processing can express improvement Oxygen plasma ash content resistance.Table 1 is shown for removing SiO2Hydrogen fluoride (HF) wet etching process before, be used for The amount of the silicon carbide film removed during the Oxygen plasma ashing technique for removing photoresist.Specifically, silicon carbide film is existed 30 seconds O are exposed at 400 DEG C2Corona treatment, and impregnated 10 minutes in HF bath.In table 1, X be can be between about Value between 10 seconds and about 200 seconds, Y can be the value between about 5 seconds and about 50 seconds.Table 1 the result shows that, silicon carbide film The film loss amount at center is reduced with the extension of the processing time of each process cycle.In addition, the film at silicon carbide film center damages Vector is reduced with the shortening of the sedimentation time of each deposition cycle.Therefore, the thinner layer of each deposition cycle improve through The etch-resistance and chemical stability of the silicon carbide film of processing.
Table 1
Device
One aspect of the present invention is arranged to realize the device of approach described herein.Device appropriate includes being used for Realize the hardware of technological operation and the system controller with the instruction for controlling technological operation according to the present invention.In some realities It applies in scheme, the device for executing previous process operation may include remote plasma source.Compared to direct plasma, Remote plasma source provides mild reaction condition.The example of remote plasma unit appropriate is October 24 in 2013 The U.S. Patent application No.14/062 day submitted is described in 648, and the U.S. Patent application is by by reference all simultaneously Enter herein and for all purposes.
Fig. 3 shows a schematic diagram of remote plasma unit according to certain embodiment.The device 300 includes Reaction chamber 310 with nozzle component 320.In the inside of reaction chamber 310, substrate 330 is shelved on pedestal or pedestal 335.? In some embodiments, pedestal 335 can be equipped with heating/cooling element.Controller 340 may be connected to device 300 Component, with the operation of control device 300.For example, the technique that the controller 340 may include the operation for control device 300 The instruction of condition, such as temperature process condition and/or pressure process condition.In some embodiments, controller 340 may include using In the instruction of control precursor gases, coreaction gas, source gas and the flow rate of carrier gas.Controller 340 may include heavy for controlling The instruction of the processing time in sedimentation time and processing cycle in product circulation.In addition, controller 340 may include for adjusting The instruction of the pressure of deposition cycle or processing cycle, RF power, air-flow and gas composition.
In operation, gas or admixture of gas are drawn via the one or more gas accesses for being coupled to reaction chamber 310 Enter to reaction chamber 310.In some embodiments, two or more gas accesses are coupled to reaction chamber 310.First gas Entrance 355 can be coupled to reaction chamber 310 and be connected to container 350, and second gas inlet 365 can be coupled to reaction chamber 310 and it is connected to remote plasma source 360.Include remote plasma configuration embodiment in, for precursor with The feed-line of the free radical material generated in remote plasma source is separation.Therefore, precursor and free radical material arrive Interaction is not will do it before up to substrate 330 substantially.
One or more free radical materials can be generated in remote plasma source 360 and are configured to via gas access 365 enter reaction chamber 310.Any kind of plasma source can be in remote plasma source 360 using to create freedom Substratess matter.This include but is not limited to capacitance coupling plasma, inductively coupled plasma body, microwave plasma, direct current etc. from The plasma that daughter and laser generate.The example of capacitance coupling plasma can be radio frequency (RF) plasma.High frequency etc. Gas ions can be configured to be operated in 13.56MHz or higher.The example of such remote plasma source 360 can be with It is to be manufactured by Lam Research Corporation (Fremont, California)Such radio frequency Another example of remote plasma source 360 can be by the MKS Instruments manufacture of Massachusetts WilmingtonIt can be operated at 440kHz, and it is single fixed to the son in larger device to be provided as bolt Member, to be used for parallel processing one or more substrate.In some embodiments, microwave plasma be used as remotely it is equal from Daughter source 360, such asIt is also manufactured by MKS Instruments.Microwave plasma can be configured to It is operated under the frequency of 2.45GHz.The gas for being supplied to remote plasma source may include that hydrogen, nitrogen, oxygen and such as other places this paper mention And other gases.In certain embodiments, hydrogen is provided in carrier (such as helium).As an example, hydrogen can be It is provided in helium carrier with the concentration of about 1-10% hydrogen.
Precursor can be provided in container 350, and can be fed into spray head 320 via first gas inlet 355. Precursor is assigned to reaction chamber 310 towards substrate 330 by spray head 320.Substrate 330 can be located at 320 lower section of spray head.It should be understood that , spray head 320 can have any suitable shape, and can have any quantity and arrangement for distributing gas to lining The port at bottom 330.Precursor can be fed into spray head 320 with controlled flow rate and be eventually fed substrate 330.
It can be transported with vapor mode towards substrate 330 in one or more free radical materials that remote plasma source 360 is formed It send.One or more free radical materials can be flowed into reaction chamber 310 by second gas inlet 365.It should be understood that such as Shown in Fig. 3, second gas inlet 365 need not be transverse to the surface of (transverser to) substrate 330.In certain embodiments In, the second gas inlet 365 can be right above substrate 330 or in other positions.Remote plasma source 360 and reaction chamber The distance between 310 can be configured as and provide mild reaction condition so that remote plasma source 360 generate from Sub- compound matter is substantially neutral, but at least some free radical materials under substantial lower state are maintained at adjacent substrate In 330 environment.The free radical material of such lower state is no longer reconfigured to form stable compound.Remote plasma The distance between body source 360 and reaction chamber 310 can be the aggressivity of plasma (for example, true by source RF power level portion It is fixed), in plasma gas density (for example, if there is highly concentrated hydrogen atom, then their sizable part can Recombination is to form H before reaching reaction chamber 3102) and other factors function.In some embodiments, it is long-range equal from The distance between daughter source 360 and reaction chamber 310 can be for example, about 5cm or about 15cm between about 1cm and 30cm.
In some embodiments, be not the main co-reactant containing silicon precursor or hydroperoxyl radical in deposition cycle or It is introduced during processing cycle.In some embodiments, device is configured to introduce coreaction by second gas inlet 365 Object, in this case, co-reactant are at least partly converted to plasma.In some embodiments, device is configured to Co-reactant is introduced by spray head 320 via first gas inlet 355.The example of co-reactant includes oxygen, nitrogen, ammonia, titanium dioxide Carbon, carbon monoxide etc..
Controller 340 may include the instruction for controlling process conditions for the operation of device 300.Controller 340 It can generally include one or more memory devices and one or more processors.The processor may include CPU or calculating Machine, analog and/or digital input/output connection, controllor for step-by-step motor plate etc..For realizing the instruction of control operation appropriate It is to execute on a processor.These instructions can store memory devices associated with controller 340 or they can be with It is provided by network.
In certain embodiments, controller 340 controls all or big of semiconductor processing device 300 described herein Most movements.For example, controller 340 can control semiconductor processing device 300 with depositing silicon silicon fiml and handle the carbon The silicon carbide film is associated all or most of movement to densify for SiClx film.Controller 340 can execute including at The system controlling software of group instruction, instruction is for controlling timing, gas composition, specific gas flow rate, chamber pressure, room temperature, RF in groups Power level, substrate location, and/or other parameters.It in some embodiments can be associated with controller 340 using being stored in Memory devices on other computer programs, script or program.It is relatively mild in order to be provided in the environment of adjacent substrate 330 Reaction condition, parameter (such as RF power level, the specific gas flow rate and plasma igniting for flowing to remote plasma body region Timing) can adjust and maintain by controller 340.In addition, adjustment substrate location can be further reduced in adjacent substrate The presence of energetic free radical substance in 330 environment.In multi-drop reactor, controller 340 may include for different device The similar and different instruction stood, to enable device station independence or simultaneously operating.
In some embodiments, controller 340 may include the instruction for executing operation, and the operation is for example anti- Offer substrate 330 in room 310 is provided, the silicon carbide film of first thickness is deposited on substrate 330, the silicon carbide film of first thickness is sudden and violent It is exposed to long-range hydrogen plasma process, the silicon carbide film of second thickness is deposited on the silicon carbide film of first thickness, and by second The silicon carbide film of thickness is exposed to long-range hydrogen plasma process.In some embodiments, by the silicon carbide film of first thickness Being exposed to long-range hydrogen plasma process with the silicon carbide film of second thickness includes making the essence from remote plasma source 360 One or more hydroperoxyl radicals of upper low-energy state flow.
In some embodiments, device may include user interface associated with controller 340.User interface can be with Graphics software including display screen, device and/or process conditions is shown and user input equipment, such as pointing device, key Disk, touch screen, microphone etc..
Computer program code for controlling aforesaid operations can be compiled with any conventional computer-readable programming language It writes: for example, assembler language, C, C++, Pascal, Fortran or other.The object identification code or script of compiling are executed by processor, To execute identifying in program for task.
Signal for monitoring process can input connection by the analog and/or digital of system controller to provide.For The signal of control technique is the output in the analog- and digital- output connection of processing system.
In general, method described herein can execute in the system for including semiconductor processing equipment, semiconductor processes are set It is standby such as one or more handling implements, one or more rooms, for the one or more platforms and/or specific processing group of processing Part (wafer base, air flow system etc.).These systems can with for control they processing semiconductor wafer or substrate before, During and after operation electronic device integration.In general, electronic device is properly termed as " controller ", which can be controlled Make the various elements or subassembly of one or more systems.According to processing requirement and/or the type of system, controller can be compiled Journey to control any technique disclosed herein, including control process gas conveying, temperature setting (for example, heating and/or cooling), Pressure setting, vacuum setting, power setting, the setting of radio frequency (RF) generator, the setting of RF match circuit, set of frequency, flow rate are set It sets, fluid conveying setting, position and operation setting, processing time, sedimentation time, chip are transferred into out tool and other transfer works Tool and/or the load lock for connecting with specific system or being connected by interface.
More broadly, controller can be defined as receiving instruction, publication instruction, control operation, enable clean operation, enables The electronic device with various integrated circuits, logic, memory and/or software of endpoint measurement etc..Integrated circuit can wrap It includes the chip of the form of firmware of storage program instruction, digital signal processor (DSP), be defined as specific integrated circuit (ASIC) Chip and/or one or more microprocessors or the microcontroller for executing program instructions (for example, software).Program instruction can be It communicates, define on semiconductor wafer or system or needle with controller in the various forms (or program file) being separately provided The instruction of the operating parameter of special process is executed to semiconductor wafer or system.In some embodiments, operating parameter can be with It is to be defined by process engineer in one or more (kind) layer, the material (such as silicon carbide), surface, electricity for preparing chip A part of the formula (recipe) of one or more procedure of processings is completed during road and/or tube core.
In some embodiments, controller can be a part of computer or couple with the computer, the calculating Machine and the system integration are couple to system or by network connection to system or these combination.For example, controller can be " cloud " either chip factory (fab) host system all or part of, they can permit remote access chip processing.Meter Calculation machine can enable the remote access to system to monitor the current process of manufacturing operation, check going through for past manufacturing operation History checks the trend or performance standard of multiple manufacturing operations, changes currently processed parameter, and it is current to follow that processing step is arranged Processing or start new technique.In some instances, remote computer (for example, server) can be by network to system Process recipe is provided, network may include local network or internet.Remote computer may include allowing to input or program ginseng Number and/or the user interface of setting, the parameter and/or setting are then from remote computer communication to system.In some instances, The instruction of controller receiving data form, the instruction indicate each processing step to be executed during one or more operation Parameter.It should be appreciated that parameter can be directed to technology type to be executed and tool types, controller is configured to connect Or control the tool types.Therefore, as described above, controller can be for example by including one or more discrete controllers Distribution, these discrete controllers are by network connection together and towards common target (for example, process as described herein And control) work.The example of distributed director for these purposes can be and one or more remote integrated circuit (examples Such as, in plateau levels or as a part of remote computer) indoor one or more integrated circuits of communication, they are combined To control indoor technique.
In addition to depositing SiC described herein and processing, exemplary system can also include plasma etch chamber or Module, settling chamber or module, spin-cleaning room or module, metal plating room or module, clean room or module, Chamfer Edge etching Room or module, the physical vapour deposition (PVD) room (PVD) or module, the chemical vapor deposition room (CVD) or module, atomic layer deposition (ALD) Room or module, the atomic layer etch room (ALE) or module, ion implantation chamber or module, track chamber or module and in semiconductor die Any other semiconductor processing system that can be associated with or use in the preparation and/or manufacture of piece.
As described above, controller can be with one or more according to tool one or more processing steps to be executed Other tool circuits or module, other tool assemblies, combination tool, other tools interfaces, adjacent tool, adjacent tool, Tool, host, another controller in entire factory or by the container handling of chip to semiconductor fabrication factory In tool location and/or load port and carried from tool location and/or load port chip container materials handling in make Instrument communications.
Devices described above/technique can be used in combination with lithographic patterning instrument or technique, for example, be used to prepare or Manufacturing semiconductor devices, display, LED, photovoltaic battery panel etc..Although in general, not necessarily, these tool/techniques will be It is used together or operates in common manufacturing facility.The lithographic patterning of film generally comprise it is some or all of in following operation, Each operation enables multiple feasible tools: (1) using spin coating or Spray painting tool in workpiece, that is, apply photoresist on substrate Agent;(2) solidify photoresist using hot plate or heating furnace or UV tools of solidifying;(3) using such as wafer steppers etc Tool makes photoresist be exposed to visible light or ultraviolet ray or x- ray lamp;(4) make resist development selectively to go Except resist and to be patterned using the tool of such as wet bench etc;(5) by using dry type or wait from Resist pattern is transferred on the film or workpiece of lower section by daughter assisted etch tool;And (6) use such as radio frequency or microwave The tool of plasma resist stripper etc removes resist.
Using
The disclosure can be further appreciated by reference to the following application of the silicon carbide film for high-quality, the carbonization Silicon fiml includes the silicon carbide film of densification, wherein application means to act purely as example.The present invention is in range not by concrete application Limitation, is only the simple declaration of the aspect of the disclosure.
In some embodiments, silicon carbide film can be deposited on exposed copper.During depositing silicon silicon fiml, The reaction condition of adjacent substrate can not have oxidant (such as O2, O3And CO2), including their free radical.Therefore, silicon carbide film It can be deposited directly on exposed copper without copper oxide (for example, generating copper oxide).Such film can be used as etching stopping layer, It also can be used as copper diffusion barrier layer.It is normal that the presence of silicon carbide film can provide the sufficiently low dielectric with excellent leakage characteristics Number is for use as diffusion barrier layer.Silicon carbide film itself or as double stacked (for example, the silicon carbide being deposited on exposed copper/ SiCN is double-deck) or lamination (for example, multilayer SiCO film) as graded films (for example, SiCO film of gradual change) or multilayer can be Etch stop and/or diffusion barrier.In some embodiments, silicon carbide film can be placed in the phase usually produced by mosaic technology Between adjacent metalization layer.Silicon carbide film can resist etching, and can be it is enough densification so that copper ion enters dielectric material The diffusion of the adjacent area of material minimizes.In some embodiments, non-annularity can be for precursor used in silicon carbide film 's.Non-annularity precursor may include PMDSO or TMDSO.Non-annularity precursor can provide sufficiently high density using as sealing or diffusion Obstacle.In some embodiments, nitrogen can be by using containing nitrogen precursor or plasma-activated (such as element containing nitrogen free radical Nitrogen free radical or amine free radical) it is impregnated in film.
In some embodiments, silicon carbide film can be deposited as the vertical structure of contiguous metal or semiconductor structure.Carbonization The deposition of silicon provides the fabulous Step Coverage along the side wall of metal or semiconductor structure to create vertical structure.In certain realities It applies in scheme, vertical structure is referred to alternatively as spacer or liner.Figure 1B shows the side for being deposited on the gate electrode structure of transistor The cross section of silicon carbide liner on wall.As shown in fig. 1b, transistor can be the CMOS transistor with silicon substrate 110, Silicon substrate 110 has source electrode 112 and drain electrode 113.Gate-dielectric 114 can be deposited on silicon substrate 110, gate electrode 115 It can be deposited on gate-dielectric to form transistor.Silicon carbide liner 111 can be deposited on gate electrode 115 and grid electricity is situated between On the side wall of matter 114.In another example, Fig. 1 C shows the copper wire for the exposure being deposited in air-spaced type metalization layer The cross section of silicon carbide on side wall.The air gap 120 be directed into the integrated circuit layer between copper wire 122, thus Effective k value of this layer can be reduced.Silicon carbide liner 121 can be deposited on the side wall of copper wire 122, non-conformal dielectric layer 123 can be deposited on the air gap 120, liner 121 and copper wire 122.The example of such air-spaced type metalization layer can be Described in the U.S. Patent Publication No.2004/0232552 of Fei Wang et al., the U.S. Patent Publication by reference by Be integrally incorporated herein and for all purposes.
In some embodiments, silicon carbide film can be deposited on the side wall of patterning porous dielectric material.Ultralow k Dielectric substance can be made of porous structure.In the hole in such material metal can be provided during the deposition in succeeding layer Entrance area, including containing metal such as tantalum (Ta) diffusion barrier layer deposition.If too many metal moves to dielectric material In material, then the dielectric material can provide the short circuit between adjacent copper metallization line.Fig. 1 D is shown as porous dielectric material The cross section of the silicon carbide of the hole sealant of material.Porous dielectric layer 132 can have multiple incision porous dielectric layers 132 Groove or through-hole are to form hole 130.Silicon carbide 131 can be deposited along hole 130 to effectively seal against hole 130.With silicon carbide 131 Sealing hole 130 can avoid the otherwise damage as caused by the other sealing techniques for using plasma to porous dielectric layer 132. Silicon carbide 131 can be enough densifications as hole sealant, and may include non-annularity containing silicon precursor, such as PMDSO and TMDSO.In some embodiments, the dielectric substance being etched such as porous dielectric layer 132 can pass through that " k- is extensive first Technique is handled again ", and porous dielectric layer 132 is exposed to UV radiation and reducing agent.The recovery technique exists It is further described in the jointly owned U.S. Patent Publication No.2011/0111533 of Varadarajan et al., the U.S. is special Benefit is open to be integrally incorporated herein by reference with for all purposes.It is porous in another " k- recovery " technique Dielectric layer 132 can be exposed to UV radiation and chemical silane-based agent.The recovery technique is gathered around jointly Varadarajan's et al. Be further described in some U.S. Patent Publication No.2011/0117678, the U.S. Patent Publication by reference by Be integrally incorporated herein and for all purposes.It is exposed to recovery processing in hole 130, so that surface is more hydrophilic and mentions It has supplied after single layer of material, the layer of the silicon carbide 131 conformally deposited can be deposited to effectively seal against porous dielectric layer 132 hole.
In some embodiments, silicon carbide film can be deposited as Ultra low k dielectric materials itself.Ultra low k dielectric Generally defined as those materials with the dielectric constant lower than dielectric constant 2.5.In such a configuration, silicon carbide is super Low k dielectric material can be porous dielectric layer.It the hole of dielectric layer can be by using including annular siloxane and sesquialter The ring-type or caged precursor molecule of siloxanes introduce.In an example, the porosity of the ultra low-k dielectric of silicon carbide can be Between about 20% and 50%.In addition, ultra low-k dielectric can have the average pore size less than about 100 angstroms, such as between about 5 Angstrom and 20 angstroms between average pore size.For example, cyclosiloxane ring can have about 6.7 angstroms of radius.Although increase hole number and Size can reduce dielectric constant, but the mechanical integrity of dielectric layer may be damaged in the case where its too many hole.
Although above-mentioned some details are described for the purpose being aware and understood, but it will be apparent that certain Change and modification can be implemented within the scope of the appended claims.Described technique, system and dress are realized it should be noted that existing The many alternatives set.Therefore, described embodiment should be considered as illustrative rather than restrictive.

Claims (20)

1. a kind of method for densifying silicon carbide film, this method comprises:
Substrate is provided in the reaction chamber;
The silicon carbide film of first thickness is deposited over the substrate;
The silicon carbide film of the first thickness is exposed to long-range hydrogen plasma process, wherein the institute of the first thickness Silicon carbide film is stated to be densified;
The silicon carbide film of second thickness is deposited on the silicon carbide film of the first thickness;And
The silicon carbide film of the second thickness is exposed to long-range hydrogen plasma process, wherein the institute of the second thickness Silicon carbide film is stated to be densified.
2. according to the method described in claim 1, wherein each of the first thickness and the second thickness are between aboutPeace treatyBetween.
3. according to the method described in claim 1, the silicon carbide film for wherein depositing the first thickness includes:
(a) make one or more containing the silicon precursor inflow reaction chamber;And
(b) make from remote plasma source generate one or more hydroperoxyl radicals flowing with it is described it is one or more it is siliceous before Precursor reactant continues first time period, wherein the silicon carbide film for depositing the second thickness includes that repetitive operation (a) and (b) are held The continuous second segment time.
4. according to the method described in claim 3, wherein each one or more containing in silicon precursor has (i) one Or multiple silicon-hydrogen bonds and/or silicon-silicon bond, and (ii) one or more silicon-carbon bonds, silicon-nitrogen key and/or silicon-oxygen key.
5. according to the method described in claim 4, wherein each one or more containing in silicon precursor is selected from: cyclic annular silicon Oxygen alkane, linear siloxane, alkoxy silane, alkyl silane and silazane.
6. according to the method for any one of claim 3-5, wherein at least 90% in the hydroperoxyl radical be ground state hydrogen from By base.
7. the method according to any one of claim 3-5, wherein the first time period is different from second time Section.
8. the method according to any one of claim 3-5, wherein the first time period and the second time period phase Together.
9. according to the method described in claim 1, the silicon carbide film of the first thickness is wherein exposed to long-range hydrogen etc. Gas ions are handled
(c) hydrogen source gas is made to flow into remote plasma source;
(d) make inert gas and the hydrogen source flow of air;
(e) hydroperoxyl radical in remote plasma source is generated from the hydrogen source gas;And
(f) hydroperoxyl radical is made to flow to the silicon carbide film of the first thickness, wherein by described in the second thickness It includes the repetitive operation (c) on the silicon carbide film of the second thickness that silicon carbide film, which is exposed to long-range hydrogen plasma process, To (f).
10. the hydrogen source gas in the helium has according to the method described in claim 9, wherein the inert gas is helium The density of hydrogen of 1-10%.
11. according to the method described in claim 9, wherein at least 90% in the hydroperoxyl radical is the hydroperoxyl radical of ground state.
12. the method according to any one of claim 9-11, wherein the silicon carbide film by the first thickness is sudden and violent It is exposed to long-range hydrogen plasma process further include:
(g) flow coreaction gas together with the source gas, wherein the coreaction gas includes oxygen (O2), nitrogen (N2)、 Carbon dioxide (CO2), carbon monoxide (CO), water (H2O), methanol (CH3OH), ozone (O3), nitrous oxide (N2O), ammonia (NH3)、 Diazene (N2H2), methane (CH4), ethane (C2H6), acetylene (C2H2), ethylene (C2H4), diborane (B2H6) or combinations thereof, wherein It further includes the institute to the second thickness that the silicon carbide film of the second thickness, which is exposed to long-range hydrogen plasma process, State silicon carbide film repetitive operation (g).
13. according to the method for claim 12, wherein the coreaction gas includes O2Or N2
14. method according to any one of claim 1-3, wherein pressure in the reaction chamber between about 0.2 support and Between about 5 supports.
15. method according to any one of claim 1-3, wherein the silicon carbide film of the first thickness is deposited, The silicon carbide film of the first thickness is exposed to long-range hydrogen plasma process, deposits the carbon of the second thickness SiClx film, and the silicon carbide film of the second thickness is exposed to long-range hydrogen plasma process and is broken not introducing vacuum It is carried out in the case where bad.
16. method according to any one of claim 1-3, wherein the substrate has multiple features, in the feature Each have greater than 5:1 depth-to-width ratio.
17. method according to any one of claim 1-3, wherein by the carbonization of first and second thickness Silicon fiml is exposed to before long-range hydrogen plasma process, and the silicon carbide film includes (1) Si-O and/or Si-C key, and (2) end CH3Key, Si-OH key and/or Si -- H bond.
18. according to the method for claim 17, wherein the long-range hydrogen plasma process be configured as increase Si-O and/ Or the quantity of Si-C key, and reduce end CH3The quantity of key, Si-OH key and/or Si -- H bond in silicon carbide film.
19. method according to any one of claim 1-3, wherein the silicon carbide film is doped silicon carbide film, The doped silicon carbide film includes silicon oxide carbide (SiCO), nitric acid silicon (SiCN) or carbon silicon oxynitride over the substrate (SiONC)。
20. method according to any one of claim 1-3, wherein by the silicon carbide film exposure of the first thickness It is carried out duration between about 5 seconds and about 50 seconds in long-range hydrogen plasma process, and by the second thickness The silicon carbide film is exposed to duration of the long-range hydrogen plasma process progress between about 5 seconds and about 50 seconds.
CN201780086632.6A 2016-12-16 2017-11-30 Densification of silicon carbide films using remote plasma treatment Active CN110313051B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202110823900.6A CN113707542A (en) 2016-12-16 2017-11-30 Densifying a silicon carbide film using remote plasma treatment

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/382,137 US9837270B1 (en) 2016-12-16 2016-12-16 Densification of silicon carbide film using remote plasma treatment
US15/382,137 2016-12-16
PCT/US2017/064024 WO2018111570A1 (en) 2016-12-16 2017-11-30 Densification of silicon carbide film using remote plasma treatment

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN202110823900.6A Division CN113707542A (en) 2016-12-16 2017-11-30 Densifying a silicon carbide film using remote plasma treatment

Publications (2)

Publication Number Publication Date
CN110313051A true CN110313051A (en) 2019-10-08
CN110313051B CN110313051B (en) 2023-06-09

Family

ID=60451873

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201780086632.6A Active CN110313051B (en) 2016-12-16 2017-11-30 Densification of silicon carbide films using remote plasma treatment
CN202110823900.6A Pending CN113707542A (en) 2016-12-16 2017-11-30 Densifying a silicon carbide film using remote plasma treatment

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN202110823900.6A Pending CN113707542A (en) 2016-12-16 2017-11-30 Densifying a silicon carbide film using remote plasma treatment

Country Status (6)

Country Link
US (1) US9837270B1 (en)
JP (1) JP2020502797A (en)
KR (4) KR20230137503A (en)
CN (2) CN110313051B (en)
TW (1) TW201835374A (en)
WO (1) WO2018111570A1 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110684966A (en) * 2019-10-16 2020-01-14 江苏鲁汶仪器有限公司 Method for growing compact film in PECVD mode
CN112201570A (en) * 2020-09-24 2021-01-08 上海华力集成电路制造有限公司 Process method for reducing photoresist poisoning

Families Citing this family (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US10297442B2 (en) 2013-05-31 2019-05-21 Lam Research Corporation Remote plasma based deposition of graded or multi-layered silicon carbide film
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
KR102108560B1 (en) * 2016-03-31 2020-05-08 주식회사 엘지화학 Method for preparing a barrier film
US10002787B2 (en) 2016-11-23 2018-06-19 Lam Research Corporation Staircase encapsulation in 3D NAND fabrication
JP6817845B2 (en) * 2017-02-22 2021-01-20 株式会社Kokusai Electric Semiconductor device manufacturing methods, substrate processing devices and programs
US10354883B2 (en) 2017-10-03 2019-07-16 Mattson Technology, Inc. Surface treatment of silicon or silicon germanium surfaces using organic radicals
EP3514129A1 (en) * 2018-01-18 2019-07-24 Heraeus GMSI LLC Process for manufacturing a silicon carbide coated body
JP6862384B2 (en) * 2018-03-21 2021-04-21 株式会社東芝 Semiconductor devices, semiconductor device manufacturing methods, inverter circuits, drives, vehicles, and elevators
CN112219266A (en) 2018-04-13 2021-01-12 玛特森技术公司 Treatment of workpieces with reactive species generated using alkyl halides
US10741442B2 (en) 2018-05-31 2020-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier layer formation for conductive feature
KR102363121B1 (en) * 2018-06-11 2022-02-15 매슨 테크놀로지 인크 Generation of hydrogen-reactive species for processing of workpieces
US10840087B2 (en) 2018-07-20 2020-11-17 Lam Research Corporation Remote plasma based deposition of boron nitride, boron carbide, and boron carbonitride films
US11495456B2 (en) 2018-10-15 2022-11-08 Beijing E-Town Semiconductor Technology, Co., Ltd Ozone for selective hydrophilic surface treatment
KR20210063434A (en) 2018-10-19 2021-06-01 램 리써치 코포레이션 Doped and Undoped Silicon Carbide Deposition and Remote Hydrogen Plasma Exposure for Gapfill
US10950416B2 (en) 2018-11-16 2021-03-16 Mattson Technology, Inc. Chamber seasoning to improve etch uniformity by reducing chemistry
US10403492B1 (en) * 2018-12-11 2019-09-03 Mattson Technology, Inc. Integration of materials removal and surface treatment in semiconductor device fabrication
CN112601836A (en) * 2019-04-30 2021-04-02 玛特森技术公司 Selective deposition using methylation processes
WO2020227505A1 (en) 2019-05-07 2020-11-12 Entegris, Inc. Method for forming carbon rich silicon-containing films
CN112802737A (en) * 2019-11-13 2021-05-14 东京毅力科创株式会社 Substrate processing method and substrate processing apparatus
US11276573B2 (en) * 2019-12-04 2022-03-15 Applied Materials, Inc. Methods of forming high boron-content hard mask materials
KR20230014059A (en) * 2021-07-20 2023-01-27 에이에스엠 아이피 홀딩 비.브이. Method of forming a structure including a silicon carbide layer
WO2023184165A1 (en) * 2022-03-29 2023-10-05 华中科技大学 Via filling method and via filling apparatus
CN115522180A (en) * 2022-09-20 2022-12-27 苏州源展材料科技有限公司 Preparation method and application of silicon-based thin film with low dielectric constant

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004006332A (en) * 2002-04-24 2004-01-08 Semiconductor Energy Lab Co Ltd Semiconductor device and its fabrication method
US20100317198A1 (en) * 2009-06-12 2010-12-16 Novellus Systems, Inc. Remote plasma processing of interface surfaces
US20120122302A1 (en) * 2010-11-03 2012-05-17 Applied Materials, Inc. Apparatus And Methods For Deposition Of Silicon Carbide And Silicon Carbonitride Films
CN105185707A (en) * 2009-12-04 2015-12-23 诺发系统有限公司 Hardmask Materials, Forming Method And Device, And Applications Thereof
CN106024673A (en) * 2015-03-26 2016-10-12 朗姆研究公司 Minimizing radical recombination using ald silicon oxide surface coating with intermittent restoration plasma

Family Cites Families (178)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CA868641A (en) 1971-04-13 L. Cuomo Jerome Method for etching silicon nitride films with sharp edge definition
US4177474A (en) 1977-05-18 1979-12-04 Energy Conversion Devices, Inc. High temperature amorphous semiconductor member and method of making the same
AU549925B2 (en) 1983-11-28 1986-02-20 Nitsuko Ltd. Automatic telephone hold releasing circuit
JP2736380B2 (en) 1987-08-11 1998-04-02 株式会社豊田中央研究所 Method for producing silicon carbide material and raw material composition
US5034355A (en) 1987-10-28 1991-07-23 Kabushiki Kaisha Toyota Chuo Kenkyusho Tough silicon carbide composite material containing fibrous boride
US4895789A (en) 1988-03-29 1990-01-23 Seiko Instruments Inc. Method of manufacturing non-linear resistive element array
DE3811567A1 (en) 1988-04-07 1989-10-19 Wacker Chemie Gmbh METHOD FOR PRODUCING ORGANOPOLYSILANES
US5464699A (en) 1988-04-18 1995-11-07 Alloy Surfaces Co. Inc. Pyrophoric materials and methods for making the same
US5122431A (en) 1988-09-14 1992-06-16 Fujitsu Limited Thin film formation apparatus
US5739579A (en) 1992-06-29 1998-04-14 Intel Corporation Method for forming interconnections for semiconductor fabrication and semiconductor device having such interconnections
US5324690A (en) 1993-02-01 1994-06-28 Motorola Inc. Semiconductor device having a ternary boron nitride film and a method for forming the same
FR2713666B1 (en) 1993-12-15 1996-01-12 Air Liquide Method and device for depositing at low temperature a film containing silicon on a metal substrate.
SE9501312D0 (en) 1995-04-10 1995-04-10 Abb Research Ltd Method of procucing a semiconductor device
US6150719A (en) 1997-07-28 2000-11-21 General Electric Company Amorphous hydrogenated carbon hermetic structure and fabrication method
JP3411559B2 (en) 1997-07-28 2003-06-03 マサチューセッツ・インスティチュート・オブ・テクノロジー Pyrolytic chemical vapor deposition of silicone films.
US6624064B1 (en) 1997-10-10 2003-09-23 Applied Materials, Inc. Chamber seasoning method to improve adhesion of F-containing dielectric film to metal for VLSI application
TW437017B (en) 1998-02-05 2001-05-28 Asm Japan Kk Silicone polymer insulation film on semiconductor substrate and method for formation thereof
US7354873B2 (en) 1998-02-05 2008-04-08 Asm Japan K.K. Method for forming insulation film
US6432846B1 (en) 1999-02-02 2002-08-13 Asm Japan K.K. Silicone polymer insulation film on semiconductor substrate and method for forming the film
US6881683B2 (en) 1998-02-05 2005-04-19 Asm Japan K.K. Insulation film on semiconductor substrate and method for forming same
US7064088B2 (en) 1998-02-05 2006-06-20 Asm Japan K.K. Method for forming low-k hard film
US7582575B2 (en) 1998-02-05 2009-09-01 Asm Japan K.K. Method for forming insulation film
US6383955B1 (en) 1998-02-05 2002-05-07 Asm Japan K.K. Silicone polymer insulation film on semiconductor substrate and method for forming the film
US5958324A (en) 1998-02-06 1999-09-28 Dow Corning Corporation Method for formation of crystalline boron-doped silicon carbide and amorphous boron silicon oxycarbide fibers from polymer blends containing siloxane and boron
US6197688B1 (en) 1998-02-12 2001-03-06 Motorola Inc. Interconnect structure in a semiconductor device and method of formation
JP4151862B2 (en) 1998-02-26 2008-09-17 キヤノンアネルバ株式会社 CVD equipment
US6262445B1 (en) 1998-03-30 2001-07-17 Texas Instruments Incorporated SiC sidewall process
US6846391B1 (en) 1998-04-01 2005-01-25 Novellus Systems Process for depositing F-doped silica glass in high aspect ratio structures
US6395150B1 (en) 1998-04-01 2002-05-28 Novellus Systems, Inc. Very high aspect ratio gapfill using HDP
US6159871A (en) 1998-05-29 2000-12-12 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films having low dielectric constant
JPH11354820A (en) 1998-06-12 1999-12-24 Sharp Corp Photoelectric conversion element and manufacture thereof
US6316167B1 (en) 2000-01-10 2001-11-13 International Business Machines Corporation Tunabale vapor deposited materials as antireflective coatings, hardmasks and as combined antireflective coating/hardmasks and methods of fabrication thereof and application thereof
US20030089992A1 (en) 1998-10-01 2003-05-15 Sudha Rathi Silicon carbide deposition for use as a barrier layer and an etch stop
US6399484B1 (en) 1998-10-26 2002-06-04 Tokyo Electron Limited Semiconductor device fabricating method and system for carrying out the same
EP1056139A3 (en) 1999-05-28 2007-09-19 Sharp Kabushiki Kaisha Method for manufacturing photoelectric conversion device
AU5449900A (en) 1999-06-03 2000-12-28 Penn State Research Foundation, The Deposited thin film void-column network materials
EP1077477B1 (en) 1999-08-17 2008-12-17 Applied Materials, Inc. Surface treatment of C-doped SiO2 film to enhance film stability during O2 ashing
US6100587A (en) 1999-08-26 2000-08-08 Lucent Technologies Inc. Silicon carbide barrier layers for porous low dielectric constant materials
US6287643B1 (en) 1999-09-30 2001-09-11 Novellus Systems, Inc. Apparatus and method for injecting and modifying gas concentration of a meta-stable or atomic species in a downstream plasma reactor
US6875687B1 (en) 1999-10-18 2005-04-05 Applied Materials, Inc. Capping layer for extreme low dielectric constant films
US6537741B2 (en) 1999-11-24 2003-03-25 Nexpress Solutions Llc Fusing belt for applying a protective overcoat to a photographic element
JP3430091B2 (en) 1999-12-01 2003-07-28 Necエレクトロニクス株式会社 Etching mask, method of forming contact hole using etching mask, and semiconductor device formed by the method
US6818990B2 (en) 2000-04-03 2004-11-16 Rensselaer Polytechnic Institute Fluorine diffusion barriers for fluorinated dielectrics in integrated circuits
US6417092B1 (en) 2000-04-05 2002-07-09 Novellus Systems, Inc. Low dielectric constant etch stop films
US6303476B1 (en) 2000-06-12 2001-10-16 Ultratech Stepper, Inc. Thermally induced reflectivity switch for laser thermal processing
US6794311B2 (en) 2000-07-14 2004-09-21 Applied Materials Inc. Method and apparatus for treating low k dielectric layers to reduce diffusion
US6352921B1 (en) 2000-07-19 2002-03-05 Chartered Semiconductor Manufacturing Ltd. Use of boron carbide as an etch-stop and barrier layer for copper dual damascene metallization
US6764958B1 (en) 2000-07-28 2004-07-20 Applied Materials Inc. Method of depositing dielectric films
US6774489B2 (en) 2000-08-29 2004-08-10 Texas Instruments Incorporated Dielectric layer liner for an integrated circuit structure
TW535253B (en) 2000-09-08 2003-06-01 Applied Materials Inc Plasma treatment of silicon carbide films
US6465366B1 (en) 2000-09-12 2002-10-15 Applied Materials, Inc. Dual frequency plasma enhanced chemical vapor deposition of silicon carbide layers
US6448186B1 (en) 2000-10-06 2002-09-10 Novellus Systems, Inc. Method and apparatus for use of hydrogen and silanes in plasma
US6949450B2 (en) 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US6936533B2 (en) 2000-12-08 2005-08-30 Samsung Electronics, Co., Ltd. Method of fabricating semiconductor devices having low dielectric interlayer insulation layer
US7019399B2 (en) 2001-01-22 2006-03-28 N.V. Bekaert S.A. Copper diffusion barriers made of diamond-like nanocomposits doped with metals
US6537733B2 (en) 2001-02-23 2003-03-25 Applied Materials, Inc. Method of depositing low dielectric constant silicon carbide layers
US6455409B1 (en) 2001-02-28 2002-09-24 Advanced Micro Devices, Inc. Damascene processing using a silicon carbide hard mask
US6716770B2 (en) 2001-05-23 2004-04-06 Air Products And Chemicals, Inc. Low dielectric constant material and method of processing by CVD
KR100414156B1 (en) 2001-05-29 2004-01-07 삼성전자주식회사 Method for manufacturing capacitor in integrated circuits device
US6506692B2 (en) 2001-05-30 2003-01-14 Intel Corporation Method of making a semiconductor device using a silicon carbide hard mask
US6573606B2 (en) 2001-06-14 2003-06-03 International Business Machines Corporation Chip to wiring interface with single metal alloy layer applied to surface of copper interconnect
US7057251B2 (en) 2001-07-20 2006-06-06 Reflectivity, Inc MEMS device made of transition metal-dielectric oxide materials
US20030064154A1 (en) 2001-08-06 2003-04-03 Laxman Ravi K. Low-K dielectric thin films and chemical vapor deposition method of making same
AU2002333601A1 (en) 2001-09-14 2003-04-01 Asm America, Inc. Metal nitride deposition by ald using gettering reactant
WO2003025805A1 (en) 2001-09-18 2003-03-27 Pro-Corp Holdings International Limited Image recognition inventory management system
US6759327B2 (en) 2001-10-09 2004-07-06 Applied Materials Inc. Method of depositing low k barrier layers
KR100420598B1 (en) 2001-11-28 2004-03-02 동부전자 주식회사 Method for formation copper diffusion barrier a film by using aluminum
US6670715B2 (en) 2001-12-05 2003-12-30 United Microelectronics Corp. Bilayer silicon carbide based barrier
US6890850B2 (en) 2001-12-14 2005-05-10 Applied Materials, Inc. Method of depositing dielectric materials in damascene applications
US7091137B2 (en) 2001-12-14 2006-08-15 Applied Materials Bi-layer approach for a hermetic low dielectric constant layer for barrier applications
US6679978B2 (en) 2002-02-22 2004-01-20 Afg Industries, Inc. Method of making self-cleaning substrates
KR100449028B1 (en) 2002-03-05 2004-09-16 삼성전자주식회사 Method for forming thin film using ALD
US20030194496A1 (en) 2002-04-11 2003-10-16 Applied Materials, Inc. Methods for depositing dielectric material
TWI289491B (en) 2002-04-16 2007-11-11 Tadatomo Suga Reflow soldering method
US6936551B2 (en) 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
DE50302862D1 (en) 2002-05-24 2006-05-18 Schott Ag Device for CVD coatings
US6767836B2 (en) 2002-09-04 2004-07-27 Asm Japan K.K. Method of cleaning a CVD reaction chamber using an active oxygen species
US6803313B2 (en) 2002-09-27 2004-10-12 Advanced Micro Devices, Inc. Method for forming a hardmask employing multiple independently formed layers of a pecvd material to reduce pinholes
US7749563B2 (en) 2002-10-07 2010-07-06 Applied Materials, Inc. Two-layer film for next generation damascene barrier application with good oxidation resistance
US6991959B2 (en) 2002-10-10 2006-01-31 Asm Japan K.K. Method of manufacturing silicon carbide film
JP4066332B2 (en) 2002-10-10 2008-03-26 日本エー・エス・エム株式会社 Method for manufacturing silicon carbide film
JP4109531B2 (en) 2002-10-25 2008-07-02 松下電器産業株式会社 Semiconductor device and manufacturing method thereof
DE10250889B4 (en) 2002-10-31 2006-12-07 Advanced Micro Devices, Inc., Sunnyvale An improved SiC barrier layer for a low-k dielectric, metallization layer and method of making the same
US20040084774A1 (en) 2002-11-02 2004-05-06 Bo Li Gas layer formation materials
US6975032B2 (en) 2002-12-16 2005-12-13 International Business Machines Corporation Copper recess process with application to selective capping and electroless plating
US7972663B2 (en) 2002-12-20 2011-07-05 Applied Materials, Inc. Method and apparatus for forming a high quality low temperature silicon nitride layer
US7365029B2 (en) 2002-12-20 2008-04-29 Applied Materials, Inc. Method for silicon nitride chemical vapor deposition
US6855645B2 (en) 2002-12-30 2005-02-15 Novellus Systems, Inc. Silicon carbide having low dielectric constant
US6790788B2 (en) 2003-01-13 2004-09-14 Applied Materials Inc. Method of improving stability in low k barrier layers
US7238393B2 (en) 2003-02-13 2007-07-03 Asm Japan K.K. Method of forming silicon carbide films
US7098149B2 (en) 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US6869542B2 (en) 2003-03-12 2005-03-22 International Business Machines Corporation Hard mask integrated etch process for patterning of silicon oxide and other dielectric materials
US7208389B1 (en) 2003-03-31 2007-04-24 Novellus Systems, Inc. Method of porogen removal from porous low-k films using UV radiation
US7081673B2 (en) 2003-04-17 2006-07-25 International Business Machines Corporation Multilayered cap barrier in microelectronic interconnect structures
US7115534B2 (en) 2003-05-19 2006-10-03 Applied Materials, Inc. Dielectric materials to prevent photoresist poisoning
US7420275B1 (en) 2003-09-24 2008-09-02 Novellus Systems, Inc. Boron-doped SIC copper diffusion barrier films
US6967405B1 (en) 2003-09-24 2005-11-22 Yongsik Yu Film for copper diffusion barrier
US20050100682A1 (en) 2003-11-06 2005-05-12 Tokyo Electron Limited Method for depositing materials on a substrate
US7163896B1 (en) 2003-12-10 2007-01-16 Novellus Systems, Inc. Biased H2 etch process in deposition-etch-deposition gap fill
WO2005069367A1 (en) 2004-01-13 2005-07-28 Tokyo Electron Limited Method for manufacturing semiconductor device and film-forming system
US7405147B2 (en) 2004-01-30 2008-07-29 International Business Machines Corporation Device and methodology for reducing effective dielectric constant in semiconductor devices
US7381662B1 (en) 2004-03-11 2008-06-03 Novellus Systems, Inc. Methods for improving the cracking resistance of low-k dielectric materials
US7030041B2 (en) 2004-03-15 2006-04-18 Applied Materials Inc. Adhesion improvement for low k dielectrics
US7253125B1 (en) 2004-04-16 2007-08-07 Novellus Systems, Inc. Method to improve mechanical strength of low-k dielectric film using modulated UV exposure
US20050233555A1 (en) 2004-04-19 2005-10-20 Nagarajan Rajagopalan Adhesion improvement for low k dielectrics to conductive materials
JP5113982B2 (en) 2004-04-23 2013-01-09 トヨタ自動車株式会社 Method for producing carbon composite material in which metal carbide particles are dispersed
US7285842B2 (en) 2004-04-27 2007-10-23 Polyset Company, Inc. Siloxane epoxy polymers as metal diffusion barriers to reduce electromigration
US7259090B2 (en) 2004-04-28 2007-08-21 Taiwan Semiconductor Manufacturing Co., Ltd. Copper damascene integration scheme for improved barrier layers
US7067409B2 (en) * 2004-05-10 2006-06-27 Taiwan Semiconductor Manufacturing Company, Ltd. Plasma treatment at film layer to reduce sheet resistance and to improve via contact resistance
US7622400B1 (en) 2004-05-18 2009-11-24 Novellus Systems, Inc. Method for improving mechanical properties of low dielectric constant materials
US20050277302A1 (en) 2004-05-28 2005-12-15 Nguyen Son V Advanced low dielectric constant barrier layers
US7282438B1 (en) 2004-06-15 2007-10-16 Novellus Systems, Inc. Low-k SiC copper diffusion barrier films
JP2006013190A (en) 2004-06-28 2006-01-12 Rohm Co Ltd Method of manufacturing semiconductor device
US7132374B2 (en) 2004-08-17 2006-11-07 Cecilia Y. Mak Method for depositing porous films
JP4987717B2 (en) 2004-08-18 2012-07-25 ダウ・コーニング・コーポレイション Substrate with coating and method for preparing the same
GB0423685D0 (en) 2004-10-26 2004-11-24 Dow Corning Ireland Ltd Improved method for coating a substrate
WO2006057464A2 (en) 2004-11-29 2006-06-01 Univ Tokyo Nat Univ Corp Process for producing silicon nanofilamentous form
TW200631095A (en) 2005-01-27 2006-09-01 Koninkl Philips Electronics Nv A method of manufacturing a semiconductor device
JP2007053133A (en) 2005-08-15 2007-03-01 Toshiba Corp Semiconductor device and manufacturing method thereof
US8021992B2 (en) 2005-09-01 2011-09-20 Taiwan Semiconductor Manufacturing Co., Ltd. High aspect ratio gap fill application using high density plasma chemical vapor deposition
JP4837370B2 (en) 2005-12-05 2011-12-14 東京エレクトロン株式会社 Deposition method
US7718518B2 (en) 2005-12-16 2010-05-18 Asm International N.V. Low temperature doped silicon layer formation
WO2007099428A1 (en) 2006-02-28 2007-09-07 Stmicroelectronics (Crolles 2) Sas Metal interconnects in a dielectric material
JP5040913B2 (en) 2006-03-31 2012-10-03 富士通セミコンダクター株式会社 Manufacturing method of semiconductor device
US7780865B2 (en) 2006-03-31 2010-08-24 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
US7744746B2 (en) 2006-03-31 2010-06-29 Exxonmobil Research And Engineering Company FCC catalyst stripper configuration
US7528078B2 (en) 2006-05-12 2009-05-05 Freescale Semiconductor, Inc. Process of forming electronic device including a densified nitride layer adjacent to an opening within a semiconductor layer
US7851384B2 (en) 2006-06-01 2010-12-14 Applied Materials, Inc. Method to mitigate impact of UV and E-beam exposure on semiconductor device film properties by use of a bilayer film
JP5380797B2 (en) 2006-08-21 2014-01-08 富士通株式会社 Manufacturing method of semiconductor device
US8956457B2 (en) 2006-09-08 2015-02-17 Tokyo Electron Limited Thermal processing system for curing dielectric films
US20080064173A1 (en) 2006-09-08 2008-03-13 United Microelectronics Corp. Semiconductor device, cmos device and fabricating methods of the same
US8053372B1 (en) 2006-09-12 2011-11-08 Novellus Systems, Inc. Method of reducing plasma stabilization time in a cyclic deposition process
US7759241B2 (en) 2006-09-15 2010-07-20 Intel Corporation Group II element alloys for protecting metal interconnects
US7550758B2 (en) 2006-10-31 2009-06-23 Atmel Corporation Method for providing a nanoscale, high electron mobility transistor (HEMT) on insulator
US7749892B2 (en) 2006-11-29 2010-07-06 International Business Machines Corporation Embedded nano UV blocking and diffusion barrier for improved reliability of copper/ultra low K interlevel dielectric electronic devices
US20080128907A1 (en) 2006-12-01 2008-06-05 International Business Machines Corporation Semiconductor structure with liner
DE102006058771B4 (en) 2006-12-12 2018-03-01 Schott Ag Container with improved emptiness and method for its production
US8017522B2 (en) 2007-01-24 2011-09-13 International Business Machines Corporation Mechanically robust metal/low-κ interconnects
US7915166B1 (en) 2007-02-22 2011-03-29 Novellus Systems, Inc. Diffusion barrier and etch stop films
EP2122007A4 (en) 2007-02-27 2011-10-26 Sixtron Advanced Materials Inc Method for forming a film on a substrate
JP5140290B2 (en) 2007-03-02 2013-02-06 富士フイルム株式会社 Insulation film
TWI333676B (en) 2007-03-22 2010-11-21 United Microelectronics Corp Method for manufacturing mos transistor utilizing hybrid a hard mask
US8173537B1 (en) 2007-03-29 2012-05-08 Novellus Systems, Inc. Methods for reducing UV and dielectric diffusion barrier interaction
US20090264277A1 (en) 2007-04-17 2009-10-22 Dr. Rishi Raj Picoscale catalysts for hydrogen catalysis
KR20100028544A (en) 2007-05-03 2010-03-12 램 리써치 코포레이션 Hardmask open and etch profile control with hardmask open
JP2009075285A (en) 2007-09-20 2009-04-09 Fujifilm Corp Stripper for semiconductor device and stripping method
US8338315B2 (en) 2008-02-26 2012-12-25 Axcelis Technologies, Inc. Processes for curing silicon based low-k dielectric materials
US8124522B1 (en) 2008-04-11 2012-02-28 Novellus Systems, Inc. Reducing UV and dielectric diffusion barrier interaction through the modulation of optical properties
US20090258487A1 (en) 2008-04-14 2009-10-15 Keng-Chu Lin Method for Improving the Reliability of Low-k Dielectric Materials
WO2010011390A2 (en) 2008-05-07 2010-01-28 The Trustees Of Princeton University Hybrid layers for use in coatings on electronic devices or other articles
US8168268B2 (en) 2008-12-12 2012-05-01 Ovishinsky Innovation, LLC Thin film deposition via a spatially-coordinated and time-synchronized process
US20100081293A1 (en) 2008-10-01 2010-04-01 Applied Materials, Inc. Methods for forming silicon nitride based film or silicon carbon based film
US8637396B2 (en) * 2008-12-01 2014-01-28 Air Products And Chemicals, Inc. Dielectric barrier deposition using oxygen containing precursor
US8268722B2 (en) 2009-06-03 2012-09-18 Novellus Systems, Inc. Interfacial capping layers for interconnects
US8071451B2 (en) 2009-07-29 2011-12-06 Axcelis Technologies, Inc. Method of doping semiconductors
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US8178443B2 (en) 2009-12-04 2012-05-15 Novellus Systems, Inc. Hardmask materials
US8247332B2 (en) 2009-12-04 2012-08-21 Novellus Systems, Inc. Hardmask materials
US8399350B2 (en) 2010-02-05 2013-03-19 International Business Machines Corporation Formation of air gap with protection of metal lines
US20130157466A1 (en) 2010-03-25 2013-06-20 Keith Fox Silicon nitride films for semiconductor device applications
US20120142172A1 (en) 2010-03-25 2012-06-07 Keith Fox Pecvd deposition of smooth polysilicon films
US8741394B2 (en) 2010-03-25 2014-06-03 Novellus Systems, Inc. In-situ deposition of film stacks
US20110256734A1 (en) * 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
JP5123349B2 (en) 2010-04-19 2013-01-23 Hoya株式会社 Multi-tone mask manufacturing method
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
WO2012134605A1 (en) 2011-03-25 2012-10-04 Applied Materials, Inc. Method and apparatus for thermocouple installation or replacement in a substrate support
US8771807B2 (en) 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
JP2013074093A (en) 2011-09-28 2013-04-22 Renesas Electronics Corp Reflow pretreatment device and reflow pretreatment method
WO2013073216A1 (en) 2011-11-14 2013-05-23 住友電気工業株式会社 Silicon carbide substrate, semiconductor device and methods for producing same
US20130242493A1 (en) 2012-03-13 2013-09-19 Qualcomm Mems Technologies, Inc. Low cost interposer fabricated with additive processes
US10211310B2 (en) 2012-06-12 2019-02-19 Novellus Systems, Inc. Remote plasma based deposition of SiOC class of films
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US9337068B2 (en) 2012-12-18 2016-05-10 Lam Research Corporation Oxygen-containing ceramic hard masks and associated wet-cleans
US9371579B2 (en) 2013-10-24 2016-06-21 Lam Research Corporation Ground state hydrogen radical sources for chemical vapor deposition of silicon-carbon-containing films
US9520295B2 (en) * 2015-02-03 2016-12-13 Lam Research Corporation Metal doping of amorphous carbon and silicon films used as hardmasks in substrate processing systems
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004006332A (en) * 2002-04-24 2004-01-08 Semiconductor Energy Lab Co Ltd Semiconductor device and its fabrication method
US20100317198A1 (en) * 2009-06-12 2010-12-16 Novellus Systems, Inc. Remote plasma processing of interface surfaces
CN105185707A (en) * 2009-12-04 2015-12-23 诺发系统有限公司 Hardmask Materials, Forming Method And Device, And Applications Thereof
US20120122302A1 (en) * 2010-11-03 2012-05-17 Applied Materials, Inc. Apparatus And Methods For Deposition Of Silicon Carbide And Silicon Carbonitride Films
CN103168344A (en) * 2010-11-03 2013-06-19 应用材料公司 Apparatus and methods for deposition of silicon carbide and silicon carbonitride films
CN106024673A (en) * 2015-03-26 2016-10-12 朗姆研究公司 Minimizing radical recombination using ald silicon oxide surface coating with intermittent restoration plasma

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110684966A (en) * 2019-10-16 2020-01-14 江苏鲁汶仪器有限公司 Method for growing compact film in PECVD mode
CN112201570A (en) * 2020-09-24 2021-01-08 上海华力集成电路制造有限公司 Process method for reducing photoresist poisoning

Also Published As

Publication number Publication date
KR20230137503A (en) 2023-10-04
TW201835374A (en) 2018-10-01
KR20220085847A (en) 2022-06-22
KR102447498B1 (en) 2022-09-23
WO2018111570A1 (en) 2018-06-21
CN110313051B (en) 2023-06-09
KR102582838B1 (en) 2023-09-26
KR20190088069A (en) 2019-07-25
CN113707542A (en) 2021-11-26
KR20220134787A (en) 2022-10-05
JP2020502797A (en) 2020-01-23
KR102446511B1 (en) 2022-09-23
US9837270B1 (en) 2017-12-05

Similar Documents

Publication Publication Date Title
CN110313051A (en) Silicon carbide film is densified using remote plasma treatment
KR102406467B1 (en) Remote Plasma Based Deposition of Graded Silicon Carbide Films or Multilayer Silicon Carbide Films
KR102545881B1 (en) METHOD TO OBTAIN SiC CLASS OF FILMS OF DESIRED COMPOSITION AND FILM PROPERTIES
US11264234B2 (en) Conformal deposition of silicon carbide films
US20230203646A1 (en) Conformal deposition of silicon carbide films using heterogeneous precursor interaction
KR102515238B1 (en) Conformal deposition of silicon carbide films
CN103975419B (en) Plasma activated conformal dielectric film deposition
KR102537837B1 (en) Remote plasma based deposition of oxygen doped silicon carbide films
TWI837151B (en) Remote plasma based deposition of silicon carbide films using silicon-containing and carbon-containing precursors
TW202018115A (en) Conformal deposition of silicon carbide films using heterogeneous precursor interaction
CN112514030A (en) Remote plasma-based deposition of silicon carbide films using silicon-and carbon-containing precursors

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant