CN106898545B - 半导体装置 - Google Patents

半导体装置 Download PDF

Info

Publication number
CN106898545B
CN106898545B CN201710130527.XA CN201710130527A CN106898545B CN 106898545 B CN106898545 B CN 106898545B CN 201710130527 A CN201710130527 A CN 201710130527A CN 106898545 B CN106898545 B CN 106898545B
Authority
CN
China
Prior art keywords
metal silicide
semiconductor device
silicide
metal
lower profile
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201710130527.XA
Other languages
English (en)
Other versions
CN106898545A (zh
Inventor
申忠桓
姜尚范
金大容
金桢益
金哲性
柳制亨
李相遇
崔孝锡
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Publication of CN106898545A publication Critical patent/CN106898545A/zh
Application granted granted Critical
Publication of CN106898545B publication Critical patent/CN106898545B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28537Deposition of Schottky electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/1608Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66643Lateral single gate silicon transistors with source or drain regions formed by a Schottky barrier or a conductor-insulator-semiconductor structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7839Field effect transistors with field effect produced by an insulated gate with Schottky drain or source contact
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)

Abstract

本发明提供了一种半导体装置。所述半导体装置包括:第一层间绝缘膜,包括孔,位于基底上;栅极,形成在孔中;抬升源极/漏极区域,形成在栅极的两侧上;开口,位于第一层间绝缘膜中并暴露抬升源极/漏极区域的表面;金属硅化物,形成在开口中;其中,金属硅化物包括远离抬升源极/漏极区域的表面的硅化物下轮廓,硅化物下轮廓具有弯曲的剖面,其中,金属硅化物包括具有底部和侧壁的上凹进,其中,上凹进的底部与硅化物下轮廓的底部分开的距离大于上凹进的侧壁与硅化物下轮廓的侧壁分开的距离,其中,上凹进的侧壁与开口的侧壁共面,其中,半导体装置的集成密度是20nm或更小。

Description

半导体装置
本申请是申请日为2013年5月23日、申请号为201310195386.1、题为“使用预非晶化注入形成半导体装置的方法以及形成的装置”的专利申请的分案申请。
技术领域
本发明涉及半导体装置,具体地讲,涉及利用金属硅化物的装置以及制造该装置的方法。
背景技术
随着半导体装置的集成密度达到20nm或更小的级别,金属硅化物与硅之间的界面电阻会减小。这是由于金属硅化物与硅之间的界面电阻可以作为半导体装置的寄生电阻的主要分量(dominant component)。
例如,可通过增加源极/漏极的掺杂浓度或者减小肖特基势垒高度来减小界面电阻。此外,可通过增大金属硅化物与硅之间的界面面积来减小界面电阻。
发明内容
根据本发明的实施例可以提供使用预非晶化注入形成具有金属硅化物的半导体装置的方法以及如此形成的装置。根据这些实施例,可以通过形成暴露抬升源极/漏极区域的表面的开口来提供形成半导体装置的方法。可以减小开口的尺寸,并可以通过开口对抬升源极/漏极区域执行预非晶化注入(PAI),以形成抬升源极/漏极区域的非晶化部分。可以由金属和非晶化部分形成金属硅化物。
在根据本发明的一些实施例中,执行PAI可以包括:将非晶化部分形成为包括远离所述表面的PAI下轮廓,PAI下轮廓具有弯曲的剖面。在根据本发明的一些实施例中,弯曲的剖面的中心部分是弯曲的。
在根据本发明的一些实施例中,可以通过将金属硅化物形成为包括远离所述表面的硅化物下轮廓来提供形成金属硅化物的步骤,硅化物下轮廓具有弯曲的剖面。在根据本发明的一些实施例中,弯曲的剖面的中心部分是弯曲的。
在根据本发明的一些实施例中,可以通过在等于或高于与抬升源极/漏极区域直接相邻的栅极结构中包括的栅极氧化物层的水平形成硅化物下轮廓来提供形成金属硅化物的步骤。在根据本发明的一些实施例中,所述水平在栅极氧化物层上方大约15nm或更低。
在根据本发明的一些实施例中,可以通过在与至少一个直接相邻的栅极结构相关的沟道区域的水平上方抬升的水平形成硅化物下轮廓来提供形成金属硅化物的步骤。在根据本发明的一些实施例中,硅化物下轮廓在抬升源极/漏极区域中的深度大于抬升源极/漏极区域的总厚度的一半。
在根据本发明的一些实施例中,可以通过减小开口的在开口的底部处的尺寸来提供减小开口的尺寸的步骤。在根据本发明的一些实施例中,可以通过改变开口的底部处的形状以提供在开口的底部处向抬升源极/漏极区域的表面弯曲的弯曲侧壁,来提供减小开口的尺寸的步骤。
在根据本发明的一些实施例中,可以通过蚀刻抬升源极/漏极区域的表面以使所述表面的水平凹进,来提供减小开口的尺寸的步骤。在根据本发明的一些实施例中,可以通过RF蚀刻开口的侧壁和抬升源极/漏极区域来提供减小开口的尺寸的步骤。
在根据本发明的一些实施例中,可以通过将非晶化部分形成为包括远离所述表面的PAI下轮廓来提供执行PAI的步骤,PAI下轮廓具有弯曲的剖面。在根据本发明的一些实施例中,金属硅化物可以包括上凹进,上凹进具有底部和侧壁,其中,上凹进的底部与硅化物下轮廓的底部分开的距离大于上凹进的侧壁与硅化物下轮廓的侧壁分开的距离。
在根据本发明的一些实施例中,金属硅化物还包括与硅化物下轮廓相对的凸状顶部。在根据本发明的一些实施例中,可以通过将Xe注入抬升源极/漏极区域中以形成包括PAI下轮廓的非晶化部分来提供执行PAI的步骤,非晶化部分具有至少大约100埃的总厚度。
在根据本发明的一些实施例中,可以通过将Si注入抬升源极/漏极区域中以形成包括PAI下轮廓的非晶化部分来提供执行PAI的步骤,非晶化部分具有至少大约100埃的总厚度。
在根据本发明的一些实施例中,可以通过形成暴露抬升源极/漏极区域的表面的开口来提供形成半导体装置的方法。可以通过开口对抬升源极/漏极区域执行预非晶化注入(PAI),以形成抬升源极/漏极区域的非晶化部分,并可以由金属和非晶化部分形成金属硅化物。
在根据本发明的一些实施例中,半导体装置可以包括基底,基底包括PMOS区域和NMOS区域。第一接触孔可以在绝缘层中暴露位于PMOS区域中的第一抬升源极/漏极区域。第一金属接触可以在第一接触孔中位于第一抬升源极/漏极区域上。第一金属硅化物可以在第一抬升源极/漏极区域中接触第一金属接触,第一金属硅化物包括远离第一抬升源极/漏极区域的表面的第一硅化物下轮廓,第一硅化物下轮廓具有弯曲的剖面,第一金属硅化物包括与第一硅化物下轮廓相对的平坦顶部。第二接触孔可以在绝缘层中暴露位于NMOS区域中的第二抬升源极/漏极区域,第二金属接触可以在第二接触孔中位于第二抬升源极/漏极区域上。第二金属硅化物可以在第二抬升源极/漏极区域中接触第二金属接触,第二金属硅化物包括远离第二抬升源极/漏极区域的表面的第二硅化物下轮廓,第二硅化物下轮廓具有弯曲的剖面,第二金属硅化物包括与第二硅化物下轮廓相对的凸起顶部。
在根据本发明的一些实施例中,可以通过形成暴露抬升源极/漏极区域的表面的开口来提供形成半导体装置的方法。可以处理抬升源极/漏极区域来在抬升源极/漏极区域内提供各向异性的金属扩散率,并可以由金属和非晶化部分形成金属硅化物,从而根据各向异性的金属扩散率,使金属硅化物包括远离所述表面的硅化物下轮廓,硅化物下轮廓具有弯曲的剖面。
在根据本发明的一些实施例中,半导体装置可以包括:第一层间绝缘膜,包括孔,位于基底上;栅极,形成在孔中;抬升源极/漏极区域,形成在栅极的两侧上;开口,位于第一层间绝缘膜中并暴露抬升源极/漏极区域的表面;金属硅化物,形成在开口中;其中,金属硅化物包括远离抬升源极/漏极区域的表面的硅化物下轮廓,硅化物下轮廓具有弯曲的剖面,其中,金属硅化物包括具有底部和侧壁的上凹进,其中,上凹进的底部与硅化物下轮廓的底部分开的距离大于上凹进的侧壁与硅化物下轮廓的侧壁分开的距离,其中,上凹进的侧壁与开口的侧壁共面,其中,半导体装置的集成密度是20nm或更小。
附图说明
图1是根据本发明的一些实施例中的半导体装置的剖视图。
图2A是图1中示出的金属硅化物的透视图。
图2B是图2A中示出的金属硅化物的剖视图。
图3是根据本发明的一些实施例中的半导体装置的剖视图。
图4A是图3中示出的金属硅化物的透视图。
图4B是图4A中示出的金属硅化物的剖视图。
图5是根据本发明的一些实施例中的半导体装置的剖视图。
图6A是图5中示出的金属硅化物的透视图。
图6B是图6A中示出的金属硅化物的剖视图。
图7是根据本发明的一些实施例中的半导体装置的剖视图。
图8是根据本发明的一些实施例中的半导体装置的剖视图。
图9和图10分别是根据本发明的一些实施例中的半导体装置的电路图和布局图。
图11至图16B是示出根据本发明的一些实施例中的半导体装置的制造方法的剖视图。
图17是示出对于不同注入物的A-Si厚度相对于金属硅化物厚度的图。
具体实施方式
现在将在下文中参照附图更充分地描述本发明,在附图中示出了本发明的优选实施例。然而,本发明可以以不同的形式实施,并且不应该被解释为局限于这里阐述的实施例。相反,提供这些实施例使得本公开将是彻底的和完全的,并且这些实施例将把本发明的范围充分地传达给本领域技术人员。在整个说明书中,相同的附图标记表示相同的组件。在附图中,为了清楚起见,夸大了层和区域的厚度。
还将理解的是,当层被称作“在”另一层或基底“上”时,该层可以直接在所述另一层或基底上,或者也可以存在中间层。相反,当元件被称作“直接在”另一元件“上”时,不存在中间元件。
为了便于描述,在这里可以使用诸如“在…之下”、“在…下面”、“下面的”、“在…上方”、“上面的”等空间相对术语,来描述如在附图中所示的一个元件或特征与其他元件或特征的关系。将理解的是,空间相对术语意在包含除了在附图中描述的方位之外的装置在使用或操作中的不同方位。例如,如果附图中的装置被翻转,则描述为“在”其他元件或特征“下面”或“之下”的元件随后将被定位为“在”其他元件或特征“上方”。因此,示例性术语“在…下面”可以包括在…上方和在…下面两种方位。所述装置可以被另外定位(旋转90度或在其他方位),并对在这里使用的空间相对描述语做出相应的解释。
除非这里另外指出或与上下文明显矛盾,否则在描述本发明的上下文中(特别在权利要求书的上下文中)使用的术语“一”、“一种”、“一个”、“所述”、“该”及相似指示物将被解释为覆盖单数和复数二者。除非另外表明,否则术语“包含”、“具有”、“包括”和“含有”将被解释为开放式术语(即,表示“包括,但不限于此”)。
除非另有定义,否则这里使用的所有技术术语和科学术语具有与本发明所属领域的普通技术人员所通常理解的意思相同的意思。注意的是,除非另外明确说明,否则对这里提供的任何和所有示例或示例性术语的使用仅意图更好地说明本发明而不是限制本发明的范围。此外,除非另外定义,否则将不能过分地解释通用字典中定义的所有术语。
将参照透视图、剖视图和/或平面图来描述本发明,在透视图、剖视图和/或平面图中示出了本发明的优选实施例。因此,可以根据制造技术和/或公差修改示例性视图的轮廓。即,本发明的实施例不意图限制本发明的范围,而是覆盖因制造工艺的改变会导致的所有改变和修改。因此,附图中示出的区域以示意性的形式示出,并且仅通过举例说明的方式呈现区域的形状,而不是作为限制。
此外,这里使用术语“锥形”(cone)或“倒锥形”(reverse cone)来描述关于例如非晶化(amorphized)区域和从非晶化区域形成的金属硅化物区域的大体形状。然而,将理解的是,术语“锥形”不限于锥形的明确的数学或几何定义,而是以一般(大体)含义使用来描述预非晶化注入区域和金属硅化物区域的整体形状,因此形成的实际结构和区域可能未必与锥形的精确的数学或几何定义一致。此外,将理解的是,这样的被描述为“锥形”成形的区域,诸如抬升源极/漏极区域的非晶化部分或从其形成的金属硅化物,可以具有与其中形成有所述区域的区域的表面远离的下轮廓,使得下轮廓具有弯曲的剖面。
图1是根据本发明实施例的半导体装置1的剖视图。图2A和图2B是图1中示出的金属硅化物151的透视图和剖视图。参照图1,半导体装置1可以包括基底100、栅极116、抬升源极/漏极117、第一层间绝缘膜121、第二层间绝缘膜122、金属硅化物151和金属接触160。
基底100可以是用于显示器的硅基底、砷化镓基底、硅锗基底、陶瓷基底、石英基底或玻璃基底,或者可以是绝缘体上半导体(semiconductor on insulator,SOI)基底。在下面的描述中,使用硅基底作为示例。
栅极116形成在基底100上。栅极116可以包括在n沟道金属氧化物半导体(NMOS)晶体管或p沟道金属氧化物半导体(PMOS)晶体管中。栅极116可以具有后栅极结构(gate laststructure)或取代栅极结构(replacement gate structure)。具体地讲,第一层间绝缘膜121包括孔126,栅极116设置在孔126中。
栅极116可以包括例如第一金属层115和第二金属层110的堆叠。第一金属层115可以沿孔126的侧壁和底表面共形地形成,第二金属层110可以形成在第一金属层115上以填充孔126。第一金属层115可以包含例如TiN,第二金属层110可以包含例如Al。此外,如果栅极116具有后栅极结构,则第一层间绝缘膜121可以低于栅极116。
抬升源极/漏极117可以形成在栅极116之间。抬升源极/漏极117可以包括形成在基底100中的掺杂区域101和接触掺杂区域101的外延层141。外延层141可以是使用基底100作为基体通过外延方法生长的层。
金属硅化物151可以形成在抬升源极/漏极117上。即,抬升源极/漏极117的部分(具体地讲,外延层141)可以包括金属硅化物151。金属硅化物151中使用的金属可以包括Ni、Co、Pt、Ti、W、Hf、Yb、Tb、Dy、Er、Pd和它们的合金中的至少一种。接触孔161穿过第一层间绝缘膜121和第二层间绝缘膜122并暴露金属硅化物151的至少一部分。阻挡层165可以沿接触孔161的侧表面和底表面共形地形成,金属接触160可以形成在阻挡层165上以填充接触孔161。
参照图1和图2,抬升源极/漏极117可以包括突出部分141a,突出部分141a相对于基底100的表面进一步突出并覆盖金属硅化物151的两侧。如附图中所示,随着距基底100的表面的距离增加,突出部分141a可以变得更窄。此外,突出部分141a可以覆盖金属硅化物151的竖直长度(即,高度)的一半以上。在图1中,突出部分141a覆盖金属硅化物151的整个侧表面158。然而,本发明不限于此。
金属硅化物151可以不形成在抬升源极/漏极117的表面141b的至少一部分中。即,参照图1,抬升源极/漏极117在金属硅化物151与栅极116之间的区域中可以具有未硅化物化的表面。
如图2A中所示,金属硅化物151可以包括尖端区域159、侧表面158和上表面156(例如,平坦的上表面156)。金属硅化物151可以具有倒锥形形状,如附图中所示。因此,尖端区域159可以朝下(朝向基底100),上表面156可以朝上(背离基底100)。此外,由于金属硅化物151从底部到顶部变得更宽,所以侧表面158可以以预定角度θ倾斜。预定角度θ可以是但不限于大约30度至大约70度。更具体地讲,预定角度θ可以是但不限于大约40度至大约60度。此外,金属硅化物151的尖端区域159的位置可以比基底100的表面更高。在一些实施例中,尖端区域159比栅极氧化物120更高。在一些实施例中,尖端区域159在栅极氧化物120上方大约15nm或更低的位置。
如图2A和图2B中进一步所示,金属硅化物151的剖面可以限定远离上表面156的下轮廓152。此外,如图2B中所示,图2A中的金属硅化物151的显著之处在于金属硅化物151的下轮廓152具有弯曲的剖面,并且图2A中的金属硅化物151进一步示出了弯曲剖面的中心部分159可以弯曲。
如图1中进一步所示,金属硅化物的下轮廓在抬升源极/漏极117中所处水平为:在与直接相邻的栅极116相关的沟道区域的水平上方抬升。在根据本发明的一些实施例中,金属硅化物的下轮廓152所处水平为:在直接相邻的栅极116中可包括的栅极氧化物层120的水平上方抬升。在根据本发明的其他实施例中,金属硅化物的下轮廓152在抬升源极/漏极区域117中的深度大于抬升源极/漏极区域117的总厚度的一半。在根据本发明的其他实施例中,金属硅化物的下轮廓152比直接相邻的栅极116中包括的栅极氧化物层高大约15nm或者更少。
可以使用参照图11至图16B描述的工艺来形成金属硅化物151和抬升源极/漏极117。将理解的是,可以将抬升源极/漏极117的至少一部分非晶化(amorphized),非晶化的抬升源极/漏极117可以转变为金属硅化物151。通过这些工艺,金属硅化物151可以呈现倒锥形的大体形状(以提供具有弯曲剖面的下轮廓),金属硅化物151的侧表面158可以以预定角度θ倾斜。
可以通过预非晶化注入(PAI)提供非晶化工艺。具体地讲,非晶化工艺可以是注入Si、Ge、Xe和C中的至少一种的工艺,如图17中所示。因此,金属硅化物151可以包含Si、Ge、Xe和C中的至少一种。例如,半导体装置1可以是NMOS晶体管,外延层141可以是Si,Xe可以用在非晶化工艺中。在这种情况下,金属硅化物151可以包含Si和Xe。在另一示例中,半导体装置1可以是PMOS晶体管,外延层141可以是SiGe,C可以用在非晶化工艺中。在这种情况下,金属硅化物151可以包含Si、Ge和C。
在根据本发明的一些实施例中,半导体装置1可以减小抬升源极/漏极117与金属硅化物151之间的界面电阻。这是由于金属硅化物151的倒锥形形状可以提供金属硅化物151与抬升源极/漏极117之间的宽的接触面积。例如,如果将倒锥形形状的金属硅化物151与传统的平坦(条形形状)的金属硅化物相比,则可以看到,由于金属硅化物151的下轮廓具有弯曲的剖面,所以倒锥形形状的金属硅化物151与抬升源极/漏极117之间的接触面积比平坦的金属硅化物与抬升源极/漏极之间的接触面积宽。此外,金属硅化物151的倒锥形形状可以促进电流的流动。
图3是根据本发明的一些实施例中的半导体装置的剖视图。图4A是图3中示出的金属硅化物151的透视图,图4B是图4A中示出的金属硅化物151的剖视图。参照图3以及图4A和图4B,在根据本发明的一些实施例中,金属硅化物151可以具有倒锥形形状,其包括从倒锥形形状的上表面156向尖端区域159凹进的上凹进151a。如在剖视图中所看到的,金属硅化物151的下轮廓的形状可以是弯曲的。
从上凹进151a的底部到尖端区域159的竖直长度L1可以大于从上凹进151a的侧壁到侧表面158的水平长度L2。这里,竖直长度L1和水平长度L2中的每个是距上凹进151a的边界的长度。由于金属硅化物151沿竖直方向延伸,所以从上凹进151a的底部到尖端区域159的竖直长度L1可以比水平长度L2长。如图4B中所示,金属硅化物151的中心部分159可以具有弯曲的轮廓。
半导体装置2可以是PMOS晶体管。抬升源极/漏极102可以包含SiGe。SiGe层142可形成在基底100中形成的沟槽中。SiGe层142可以是西格玛(Σ)形状。SiGe层142可以将压应力施加到PMOS晶体管,从而提高PMOS晶体管的载流子(空穴)的迁移率。可以通过外延方法形成SiGe层142,以提供包含SiGe的外延层142。
当Xe和C中的至少一种被用在非晶化工艺中时,金属硅化物151不仅可以包含Si和Ge,还可以包含Xe和C中的至少一种。阻挡层165形成在金属硅化物151上,金属接触160形成在阻挡层165上。金属硅化物151可以围绕阻挡层165的一部分。由于金属硅化物151包括上凹进151a,所以阻挡层165可以形成在上凹进151a中。
如图4A和图4B中所示,金属硅化物151可以具有倒锥形形状,其包括从倒锥形形状的上表面156向尖端区域159凹进的上凹进151a。如在剖视图中所看到的,金属硅化物151的下轮廓的形状可以是弯曲的。
图5是根据本发明的一些实施例中的半导体装置3的剖视图。图6A和图6B分别是图5中示出的金属硅化物151的透视图和剖视图。
参照图5以及图6A和图6B,金属硅化物151可以具有倒锥形形状。具体地讲,金属硅化物151可以包括凸状顶部151b,该凸状顶部151b从倒锥形形状的水平平面156向上突出。如附图中所示,凸状顶部151b可以比水平平面156处的宽度窄。凸状顶部151b可以从底部到顶部变得更窄。
抬升源极/漏极103可以包含SiC层143,SiC层143形成在基底100中的沟槽中。SiC层143可以将张应力施加到NMOS晶体管,从而提高NMOS晶体管的载流子(电子)的迁移率。可以通过外延方法来形成SiC层143。当Ge和Xe中的至少一种被用在非晶化工艺中时,金属硅化物151不仅可以包含Si和C,还可以包含Ge和Xe中的至少一种。
如图6A和图6B中所示,金属硅化物151可以具有倒锥形形状,其包括从倒锥形形状的水平平面156向上突出的凸状顶部151b。如在剖视图中所看到的,金属硅化物151的下轮廓的形状可以是弯曲的。
图7是根据本发明的一些实施例中的半导体装置4的剖视图。在图7中,示出了NMOS晶体管和PMOS晶体管一起形成的情况。参照图7,在基底100中定义了第一区域I和第二区域II。
PMOS晶体管可以形成在第一区域I中。PMOS晶体管可以包括第一栅极111、形成在第一栅极111的两侧上的第一抬升源极/漏极102以及形成在第一抬升源极/漏极102上并具有倒锥形形状的第一金属硅化物151。
NMOS晶体管可以形成在第二区域II中。NMOS晶体管包括第二栅极211、形成在第二栅极211的两侧上的第二抬升源极/漏极201以及形成在第二抬升源极/漏极201上并具有倒锥形形状的第二金属硅化物251。第一金属硅化物151和第二金属硅化物251可以包含相同的材料。这里,所述相同的材料可以包括Ge、Xe和C中的至少一种。
例如,第一抬升源极/漏极102可以包含SiGe,第二抬升源极/漏极201可以包含Si。在这种情况下,如果Ge用在非晶化工艺中,则Ge不仅可以在第一金属硅化物151中检测到,还可以在第二金属硅化物251中检测到。可选择地,如果Xe用在非晶化工艺中,则第一金属硅化物151和第二金属硅化物251可以包含Xe。
第一金属硅化物151可包括平坦的顶部。如上所述,第一金属硅化物151还可以包括在倒锥形形状的上表面中的向尖端区域凹进的上凹进。此外,第二金属硅化物251还可以包括从倒锥形形状的水平平面向上突出的凸状顶部,该凸状顶部可以比倒锥形形状的水平平面窄。凸状顶部可以从底部向顶部变窄。
第一金属硅化物151的侧表面可以处于角θ1,角θ1比第二金属硅化物251的侧表面的角θ2大。即,PMOS晶体管的第一金属硅化物151的侧表面可以比NMOS晶体管的第二金属硅化物251的侧表面陡峭。
如上所述,第一抬升源极/漏极102可以包括突出部分,该突出部分相对于基底100的表面进一步突出并覆盖第一金属硅化物151的两侧。随着距基底100的表面的距离增加,突出部分可以变得更窄。第一金属硅化物151可以不形成在第一抬升源极/漏极102的表面的至少一部分中。第一金属硅化物151的倒锥形形状的尖端区域比第一栅极111的沟道区域高。
第二金属硅化物251的倒锥形形状的尖端区域也可以比第二栅极211的沟道区域高,但不限于此。根据制造工艺,第二金属硅化物251的尖端区域可以与所述沟道区域处于大约相同的水平或者可以比所述沟道区域低。
基底100上还设置有包括第一孔126和第二孔226的第一层间绝缘膜121。第一栅极111形成在第一孔126中,第二栅极211形成在第二孔226中。此外,第一栅极111包括第一金属层115和第二金属层110,第一金属层115沿第一孔126的侧壁和底表面共形地形成,第二金属层110在第一孔126中形成在第一金属层115上以填充第一孔126。第二栅极211包括第三金属层215和第四金属层210,第三金属层215沿第二孔226的侧壁和底表面共形地形成,第四金属层210在第二孔226中形成在第三金属层215上以填充第二孔226。如附图中所示,第一层间绝缘膜121可以比第一栅极111和第二栅极211低。图5以及图6A和图6B中示出的NMOS晶体管可以形成在第二区域II中。即,可以形成具有包括SiC外延层143的抬升源极/漏极103的NMOS晶体管。
图8是根据本发明的一些实施例中的半导体装置5的剖视图。参照图8,基底100包括第一区域I、第二区域II和第三区域III。第一区域I和第二区域II可以分别是存储区域(例如,单元区域(cell region))和逻辑区域(例如,LSI(大规模集成)区域),第三区域III可以是外围区域。例如,外围区域可以包括输入/输出(I/O)区域。与第一区域I和第二区域II相比,第三区域III可以具有更低的密度以及元件之间更宽的间隙。
PMOS晶体管和NMOS晶体管分别形成在第一区域I和第二区域II中。图5以及图6A和图6B中示出的NMOS晶体管可以形成在第二区域II中。即,可以形成具有包括SiC外延层143的抬升源极/漏极103的NMOS晶体管。
外延层341可以形成在第三区域III的基底100上,具有倒锥形形状的第三金属硅化物351可以形成在外延层341上。第三金属硅化物351可以设置在相邻的第三栅极311之间。第三抬升源极/漏极301可以比第一抬升源极/漏极102和第二抬升源极/漏极201相对宽。此外,第三金属硅化物351可以比第一金属硅化物151和第二金属硅化物251相对宽。此外,第三金属硅化物351可以包括平坦的顶部。
图9和图10分别是根据本发明的一些实施例中的半导体装置6的电路图和布局图。根据本发明实施例的半导体装置1至5可应用到使用金属硅化物的所有装置。然而,作为示例,在图9和图10中示出了静态随机存取存储器(SRAM)。
参照图9,半导体装置6可以包括在电源节点Vcc与接地节点Vss之间并联连接的一对反相器(inverter)INV1和INV2以及分别连接到反相器INV1和INV2的输出节点的第一传输晶体管(transmission transistor)T1和第二传输晶体管T2。第一传输晶体管T1和第二传输晶体管T2可以分别连接到位线BL和互补位线/BL。第一传输晶体管T1和第二传输晶体管T2的栅极可以分别连接到字线WL1和WL2。
第一反相器INV1包括串联连接的第一负载晶体管(load transistor)T5和第一驱动晶体管T3,第二反相器INV2包括串联连接的第二负载晶体管T6和第二驱动晶体管T4。第一负载晶体管T5和第二负载晶体管T6可以是PMOS晶体管,第一驱动晶体管T3和第二驱动晶体管T4可以是NMOS晶体管。
此外,第一反相器INV1的输入节点连接到第二反相器INV2的输出节点(见节点NC2),第二反相器INV2的输入节点连接到第一反相器INV1的输出节点(见节点NC1),从而第一反相器INV1和第二反相器INV2可以形成一个闩锁电路。
参照图9和图10,附图标记410和412表示PMOS晶体管的有源区域,附图标记414和416表示NMOS晶体管的有源区域。附图标记420和422表示第一驱动晶体管T3和第二驱动晶体管T4的栅电极,附图标记430表示第一传输晶体管T1和第二传输晶体管T2的栅电极。附图标记440表示电源线(Vcc线),附图标记450表示接地线(Vss线),附图标记460表示位线BL和互补位线/BL。这里,附图标记490表示金属接触。可以使用上面参照图1至图8描述的根据实施例的半导体装置1至5的金属硅化物和金属接触。
图11至图16B是示出根据本发明的一些实施例中的半导体装置2的制造方法的剖视图。图13B、图14B和图15B是图13A、图14A、图15A的放大剖视图。参照图11,一对晶体管位于基底100上。晶体管分别包括栅极111a/111b以及位于一对栅极111a/111b之间的抬升源极/漏极102。第一层间绝缘膜121覆盖抬升源极/漏极102。形成第二层间绝缘膜122以覆盖抬升源极/漏极102和第一层间绝缘膜121。
参照图12,通过蚀刻第一层间绝缘膜121和第二层间绝缘膜122来形成接触孔(或开口)161a,以暴露抬升源极/漏极102的表面。在根据本发明的一些实施例中,在第二层间绝缘膜122上形成掩模图案,然后干蚀刻,从而形成接触孔161a。
参照图13A和图13B,执行射频(RF)蚀刻工艺198以减小接触孔161b的尺寸。RF蚀刻工艺198可以使用例如Ar+。RF蚀刻工艺198可以除去形成在抬升源极/漏极102上的天然氧化物膜。此外,RF蚀刻工艺198可以减小接触孔161b的底表面的临界尺寸(CD)。这是由于RF蚀刻工艺198可以使由抬升源极/漏极102、第一层间绝缘膜121和第二层间绝缘膜122产生的蚀刻副产物再次沉积在第一层间绝缘膜121和第二层间绝缘膜122的侧壁上。因此,接触孔161b中的RF蚀刻可以改变接触孔161b的底部处的形状,从而提供在接触孔161b的底部处向暴露的抬升源极/漏极区域102的表面弯曲的弯曲侧壁,以促成例如图13B中示出的形状。在一个实施例中,还可以蚀刻抬升源极/漏极102的表面,以使所述表面的水平凹进。
参照图14A和图14B,通过非晶化工艺199对抬升源极/漏极102的至少一部分195非晶化。具体地讲,可以通过预非晶化注入PAI提供抬升源极/漏极102的至少一部分195的非晶化工艺199。非晶化工艺199可以包括注入Si、Ge、Xe和C中的至少一种的工艺。如例如图14B中所示,预非晶化注入可以促成非晶化部分195形成为具有弯曲的下轮廓。此外,非晶化部分195可以具有至少大约100埃的总厚度。将理解的是,预非晶化注入的下轮廓远离直接相邻的抬升源极/漏极区域的表面。
图17是示出在抬升源极/漏极区域中形成的非晶硅层的示例性厚度相对于形成在其中的金属硅化物区域的厚度的图。根据图17,与使用诸如C的其他杂质相比,使用Si或Xe可以促成更厚的金属硅化物的形成。
参照图15A和图15B,可以执行清洁工艺。具体地讲,可以原位地执行清洁工艺。清洁工艺可以除去形成在抬升源极/漏极102上的天然氧化物膜并调整接触孔161的形状。可以省略清洁工艺。
参照图16A和图16B,用金属对非晶化抬升源极/漏极102进行硅化物化以形成金属硅化物151。非晶化部分诱使金属硅化物151在硅化物化工艺过程中更多地沿竖直方向生长(参见图16A和图16B)。即,非晶化部分可以促成金属硅化物151形成为遵循与非晶化部分相同的大体倒锥形形状,使得倒锥形形状的下轮廓可以具有弯曲的剖面。金属硅化物151从底部到顶部变得更宽。即,非晶化抬升源极/漏极102的部分195诱使金属硅化物151与沿水平方向相比更多地沿竖直方向生成。
可以在非晶化抬升源极/漏极102上形成金属层。例如,金属层可以包含Ni、Co、Pt、Ti、W、Hf、Yb、Tb、Dy、Er、Pd和它们的合金中的至少一种。通过第一热处理使金属层和非晶化抬升源极/漏极102反应。例如,可以在大约200℃至大约540℃的温度下执行第一热处理。此外,第一热处理可以使用快速热退火(RTA)。除去金属层的未反应部分。然后,在比用于第一热处理的温度高的温度下执行第二热处理。例如,可以在大约540℃至大约800℃的温度下执行第二热处理。第二热处理也可以使用RTA。
如图16A和图16B中所示,非晶化层的硅化物化可以促成金属硅化物生长成为具有下轮廓(远离抬升源极/漏极的表面),所述下轮廓在其中心部分弯曲。因此,在根据本发明的一些实施例中,改变接触孔的底部处的形状可以促成具有弯曲的下轮廓的非晶化层的形成(响应于预非晶化注入),继而可以促成金属硅化物也形成为具有弯曲的剖面轮廓,具体地,在其中心部分的弯曲的剖面轮廓。
往回参照图3,沿接触孔161的侧表面和底表面共形地形成阻挡层165。此外,在阻挡层165上形成金属接触160以填充接触孔161。
虽然已参照示例实施例描述了本发明构思,但对本领域技术人员将明显的是,在不脱离本发明构思的精神和范围的情况下,可以做出各种改变和修改。因此,应该理解的是,以上实施例不是限制,而是说明性的。因此,本发明构思的范围将由权利要求书及其等同物的最宽泛的允许的解释来确定,而不应该受前面的描述的约束或限制。

Claims (8)

1.一种半导体装置,包括:
第一层间绝缘膜,包括孔,位于基底上;
栅极,形成在孔中;
抬升源极/漏极区域,形成在栅极的两侧上;
开口,位于第一层间绝缘膜中并暴露抬升源极/漏极区域的表面;
金属硅化物,形成在开口中;
其中,金属硅化物包括远离抬升源极/漏极区域的表面的硅化物下轮廓,硅化物下轮廓具有弯曲的剖面,
其中,金属硅化物包括具有底部和侧壁的上凹进,
其中,上凹进的底部与硅化物下轮廓的底部分开的距离大于上凹进的侧壁与硅化物下轮廓的侧壁分开的距离,
其中,上凹进的侧壁与开口的侧壁共面,其中,半导体装置的集成密度是20nm或更小。
2.根据权利要求1所述的半导体装置,其中,抬升源极/漏极区域包括突出部分,突出部分相对于基底的表面进一步突出并覆盖金属硅化物的两侧,
其中,随着距基底的表面的距离增加,突出部分变得更窄。
3.根据权利要求2所述的半导体装置,其中,突出部分覆盖金属硅化物的竖直长度的一半以上。
4.根据权利要求1所述的半导体装置,其中,金属硅化物不形成在抬升源极/漏极区域的表面的至少一部分中。
5.根据权利要求1所述的半导体装置,其中,所述半导体装置是p沟道金属氧化物半导体晶体管,其中,抬升源极/漏极区域包含SiGe。
6.根据权利要求5所述的半导体装置,所述半导体装置还包括:
阻挡层,形成在金属硅化物和金属接触之间;
金属硅化物围绕阻挡层的一部分。
7.根据权利要求1所述的半导体装置,其中,所述半导体装置是n沟道金属氧化物半导体晶体管,其中,抬升源极/漏极区域包含Si。
8.根据权利要求1所述的半导体装置,其中,栅极包括第一金属层和第二金属层,第一金属层沿孔的侧壁和底表面共形地形成,第二金属层形成在孔中的第一金属层上以填充孔。
CN201710130527.XA 2012-05-24 2013-05-23 半导体装置 Active CN106898545B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
KR10-2012-0055543 2012-05-24
KR1020120055543A KR101952119B1 (ko) 2012-05-24 2012-05-24 메탈 실리사이드를 포함하는 반도체 장치 및 이의 제조 방법
CN201310195386.1A CN103426739B (zh) 2012-05-24 2013-05-23 使用预非晶化注入形成半导体装置的方法以及形成的装置

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN201310195386.1A Division CN103426739B (zh) 2012-05-24 2013-05-23 使用预非晶化注入形成半导体装置的方法以及形成的装置

Publications (2)

Publication Number Publication Date
CN106898545A CN106898545A (zh) 2017-06-27
CN106898545B true CN106898545B (zh) 2020-02-18

Family

ID=49621927

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201710130527.XA Active CN106898545B (zh) 2012-05-24 2013-05-23 半导体装置
CN201310195386.1A Active CN103426739B (zh) 2012-05-24 2013-05-23 使用预非晶化注入形成半导体装置的方法以及形成的装置

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN201310195386.1A Active CN103426739B (zh) 2012-05-24 2013-05-23 使用预非晶化注入形成半导体装置的方法以及形成的装置

Country Status (4)

Country Link
US (3) US9240323B2 (zh)
KR (1) KR101952119B1 (zh)
CN (2) CN106898545B (zh)
TW (1) TWI591690B (zh)

Families Citing this family (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9601619B2 (en) 2013-07-16 2017-03-21 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with non-uniform P-type impurity profile
US9583483B2 (en) * 2013-09-03 2017-02-28 Taiwan Semiconductor Manufacturing Company, Ltd. Source and drain stressors with recessed top surfaces
US9231098B2 (en) * 2013-10-30 2016-01-05 Taiwan Semiconductor Manufacturing Co., Ltd. Mechanism for forming metal gate structure
US9508601B2 (en) 2013-12-12 2016-11-29 Texas Instruments Incorporated Method to form silicide and contact at embedded epitaxial facet
US10032876B2 (en) * 2014-03-13 2018-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Contact silicide having a non-angular profile
US10084063B2 (en) * 2014-06-23 2018-09-25 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and manufacturing method thereof
KR102230198B1 (ko) * 2014-09-23 2021-03-19 삼성전자주식회사 반도체 소자 및 이의 제조 방법
KR102246880B1 (ko) * 2015-02-10 2021-04-30 삼성전자 주식회사 집적회로 소자 및 그 제조 방법
US9691804B2 (en) * 2015-04-17 2017-06-27 Taiwan Semiconductor Manufacturing Company Ltd. Image sensing device and manufacturing method thereof
US9947753B2 (en) * 2015-05-15 2018-04-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and manufacturing method thereof
US9484431B1 (en) * 2015-07-29 2016-11-01 International Business Machines Corporation Pure boron for silicide contact
TWI682547B (zh) 2015-10-06 2020-01-11 聯華電子股份有限公司 半導體結構以及其製作方法
US9871042B2 (en) 2015-12-03 2018-01-16 Samsung Electronics Co., Ltd. Semiconductor device having fin-type patterns
US10153351B2 (en) 2016-01-29 2018-12-11 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
US9722081B1 (en) * 2016-01-29 2017-08-01 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device and method of forming the same
CN107104051B (zh) * 2016-02-22 2021-06-29 联华电子股份有限公司 半导体元件以及其制作方法
US10304743B2 (en) * 2016-06-02 2019-05-28 Toshiba Memory Corporation Semiconductor device and manufacturing method thereof
CN108122824B (zh) * 2016-11-30 2020-12-22 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US10163643B2 (en) * 2016-12-14 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming semiconductor device using titanium-containing layer and device formed
US10468300B2 (en) * 2017-07-05 2019-11-05 Globalfoundries Inc. Contacting source and drain of a transistor device
CN109599337A (zh) * 2017-09-30 2019-04-09 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
CN109817713B (zh) * 2017-11-22 2022-04-15 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
US11145751B2 (en) * 2018-03-29 2021-10-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with doped contact plug and method for forming the same
CN112106186A (zh) * 2018-07-05 2020-12-18 应用材料公司 硅化物膜成核
US10573725B1 (en) * 2018-09-20 2020-02-25 Nanya Technology Corporation Semiconductor structure and manufacturing method thereof
FR3086796A1 (fr) * 2018-09-28 2020-04-03 Stmicroelectronics (Crolles 2) Sas Procede de siliciuration
US11626505B2 (en) * 2019-06-27 2023-04-11 Taiwan Semiconductor Manufacturing Co., Ltd. Dielectric inner spacers in multi-gate field-effect transistors
CN112151607B (zh) * 2019-06-28 2023-08-08 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US11189565B2 (en) 2020-02-19 2021-11-30 Nanya Technology Corporation Semiconductor device with programmable anti-fuse feature and method for fabricating the same
TWI730769B (zh) * 2020-05-19 2021-06-11 力晶積成電子製造股份有限公司 半導體元件的製造方法
US20220051945A1 (en) * 2020-08-13 2022-02-17 Taiwan Semiconductor Manufacturing Co., Ltd. Embedded Stressors in Epitaxy Source/Drain Regions

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102110689A (zh) * 2009-12-29 2011-06-29 中国科学院微电子研究所 一种半导体器件及其制造方法
CN102437088A (zh) * 2010-09-29 2012-05-02 中国科学院微电子研究所 一种半导体结构及其制造方法

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10242081A (ja) * 1996-12-26 1998-09-11 Sony Corp 半導体装置の製造方法
US6569746B2 (en) * 1997-10-30 2003-05-27 Samsung Electronics Co., Ltd. Methods of forming integrated circuit capacitors having electrodes therein that comprise conductive plugs
US6518626B1 (en) * 2000-02-22 2003-02-11 Micron Technology, Inc. Method of forming low dielectric silicon oxynitride spacer films highly selective of etchants
KR100350811B1 (ko) * 2000-08-19 2002-09-05 삼성전자 주식회사 반도체 장치의 금속 비아 콘택 및 그 형성방법
US7646544B2 (en) * 2005-05-14 2010-01-12 Batchko Robert G Fluidic optical devices
US7256112B2 (en) 2005-01-20 2007-08-14 Chartered Semiconductor Manufacturing, Ltd Laser activation of implanted contact plug for memory bitline fabrication
JP5118300B2 (ja) * 2005-12-20 2013-01-16 富士通セミコンダクター株式会社 半導体装置及びその製造方法
KR20090031370A (ko) * 2006-05-23 2009-03-25 크리 엘이디 라이팅 솔루션즈, 인크. 조명 장치
KR100809330B1 (ko) * 2006-09-04 2008-03-05 삼성전자주식회사 게이트 스페이서로 인한 응력이 배제된 반도체 소자 및 그제조 방법
US7625801B2 (en) * 2006-09-19 2009-12-01 Taiwan Semiconductor Manufacturing Company, Ltd. Silicide formation with a pre-amorphous implant
US7968952B2 (en) * 2006-12-29 2011-06-28 Intel Corporation Stressed barrier plug slot contact structure for transistor performance enhancement
US20080206973A1 (en) * 2007-02-26 2008-08-28 Texas Instrument Inc. Process method to optimize fully silicided gate (FUSI) thru PAI implant
US20090050972A1 (en) 2007-08-20 2009-02-26 Richard Lindsay Strained Semiconductor Device and Method of Making Same
KR20090068468A (ko) * 2007-12-24 2009-06-29 주식회사 동부하이텍 반도체 소자의 제조방법
DE102008011814B4 (de) 2008-02-29 2012-04-26 Advanced Micro Devices, Inc. CMOS-Bauelement mit vergrabener isolierender Schicht und verformten Kanalgebieten sowie Verfahren zum Herstellen derselben
DE102008030854B4 (de) * 2008-06-30 2014-03-20 Advanced Micro Devices, Inc. MOS-Transistoren mit abgesenkten Drain- und Source-Bereichen und nicht-konformen Metallsilizidgebieten und Verfahren zum Herstellen der Transistoren
US20100109046A1 (en) * 2008-11-03 2010-05-06 Rishabh Mehandru Methods of forming low interface resistance contacts and structures formed thereby
WO2010102272A2 (en) * 2009-03-06 2010-09-10 E. I. Du Pont De Nemours And Company Process for forming an electroactive layer
JP2011009645A (ja) 2009-06-29 2011-01-13 Toshiba Corp 半導体装置及びその製造方法
US8900674B2 (en) * 2009-10-06 2014-12-02 Tel Solar Ag Method of coating a substrate
JP5734688B2 (ja) * 2010-02-10 2015-06-17 キヤノン株式会社 配向性酸化物セラミックスの製造方法、配向性酸化物セラミックス、圧電素子、液体吐出ヘッド、超音波モータおよび塵埃除去装置
US20120080070A1 (en) * 2010-09-30 2012-04-05 General Electric Company Photovoltaic devices
KR101716113B1 (ko) * 2010-11-03 2017-03-15 삼성전자 주식회사 반도체 소자 및 이의 제조 방법
CN102487014B (zh) * 2010-12-03 2014-03-05 中国科学院微电子研究所 一种半导体结构及其制造方法
US8598646B2 (en) * 2011-01-13 2013-12-03 Spansion Llc Non-volatile FINFET memory array and manufacturing method thereof
US8652914B2 (en) * 2011-03-03 2014-02-18 International Business Machines Corporation Two-step silicide formation
US8445347B2 (en) * 2011-04-11 2013-05-21 Sandisk Technologies Inc. 3D vertical NAND and method of making thereof by front and back side processing
US8415250B2 (en) * 2011-04-29 2013-04-09 International Business Machines Corporation Method of forming silicide contacts of different shapes selectively on regions of a semiconductor device
US20120313149A1 (en) * 2011-06-09 2012-12-13 Beijing Nmc Co., Ltd. Semiconductor structure and method for manufacturing the same
US8377769B2 (en) * 2011-06-30 2013-02-19 Institute of Microelectronics, Chinese Academy of Sciences Method for integrating replacement gate in semiconductor device
US8975672B2 (en) * 2011-11-09 2015-03-10 United Microelectronics Corp. Metal oxide semiconductor transistor and manufacturing method thereof

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102110689A (zh) * 2009-12-29 2011-06-29 中国科学院微电子研究所 一种半导体器件及其制造方法
CN102437088A (zh) * 2010-09-29 2012-05-02 中国科学院微电子研究所 一种半导体结构及其制造方法

Also Published As

Publication number Publication date
TWI591690B (zh) 2017-07-11
CN106898545A (zh) 2017-06-27
US20130316535A1 (en) 2013-11-28
US20160233334A1 (en) 2016-08-11
KR101952119B1 (ko) 2019-02-28
US20180331218A1 (en) 2018-11-15
CN103426739B (zh) 2017-12-22
TW201403677A (zh) 2014-01-16
US10043902B2 (en) 2018-08-07
US10840374B2 (en) 2020-11-17
US9240323B2 (en) 2016-01-19
CN103426739A (zh) 2013-12-04
KR20130131755A (ko) 2013-12-04

Similar Documents

Publication Publication Date Title
CN106898545B (zh) 半导体装置
US11043501B2 (en) Embedded SRAM and methods of forming the same
US11049939B2 (en) Reduced local threshold voltage variation MOSFET using multiple layers of epi for improved device operation
US9012276B2 (en) Variation resistant MOSFETs with superior epitaxial properties
US20080023775A1 (en) ASYMMETRIC FIELD EFFECT TRANSISTORS (FETs)
US9793337B2 (en) Integrated circuits and fabrication methods thereof
US10319856B2 (en) Semiconductor device
US8878301B2 (en) Semiconductor device with transistors having different source/drain region depths
US7049218B2 (en) Method of fabricating local interconnection using selective epitaxial growth
TWI782101B (zh) 半導體結構及其製作方法
TWI790476B (zh) 積體電路晶粒及其製造方法
CN104078427B (zh) 一种sram存储器及其制备方法
US20140175537A1 (en) Semiconductor apparatus and fabrication method thereof

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant