CN106558542B - 半导体器件及其制造方法 - Google Patents

半导体器件及其制造方法 Download PDF

Info

Publication number
CN106558542B
CN106558542B CN201610620077.8A CN201610620077A CN106558542B CN 106558542 B CN106558542 B CN 106558542B CN 201610620077 A CN201610620077 A CN 201610620077A CN 106558542 B CN106558542 B CN 106558542B
Authority
CN
China
Prior art keywords
metal
layer
metal layer
line
metal line
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201610620077.8A
Other languages
English (en)
Other versions
CN106558542A (zh
Inventor
傅世刚
吴宪昌
苏莉玲
李明翰
眭晓林
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN106558542A publication Critical patent/CN106558542A/zh
Application granted granted Critical
Publication of CN106558542B publication Critical patent/CN106558542B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76819Smoothing of the dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76864Thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53214Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being aluminium
    • H01L23/53223Additional layers associated with aluminium layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53242Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a noble metal, e.g. gold
    • H01L23/53252Additional layers associated with noble-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

在制造半导体器件的方法中,在衬底上方形成介电层。在第一层间介电层中形成第一图案和第二图案。第一图案的宽度大于第二图案的宽度。在第一图案和第二图案中形成第一金属层。在第一图案中形成第二金属层。对第一和第二金属层实施平坦化操作以便形成通过第一图案的第一金属布线和通过第二图案的第二金属布线。第一金属层的金属材料不同于第二金属层的金属材料。第一金属布线包括第一和第二金属层并且第二金属布线包括第一金属层但不包括第二金属层。本发明的实施例还涉及半导体器件。

Description

半导体器件及其制造方法
技术领域
本发明涉及半导体集成电路,更具体地,涉及在金属布线之间具有气隙的半导体器件及其制造工艺。
背景技术
因为半导体工业采用新一代的具有更高性能和更大功能性的集成电路(IC),因此已经使用设置在下面的诸如晶体管的电子器件上方的多层金属布线结构。为了满足更高速度和更大可靠性的要求,已经开发了先进金属布线形成方法和结构。
发明内容
本发明的实施例提供了一种制造半导体器件的方法,包括:在衬底上方形成介电层;在所述介电层中形成第一图案和第二图案,所述第一图案的宽度大于所述第二图案的宽度;在所述第一图案和所述第二图案中形成第一金属层;在所述第一图案中形成第二金属层;以及对所述第一金属层和所述第二金属层实施平坦化操作以便形成通过所述第一图案的第一金属布线和通过所述第二图案的第二金属布线,其中:所述第一金属层的金属材料不同于所述第二金属层的金属材料,以及所述第一金属布线包括所述第一金属层和所述第二金属层,且所述第二金属布线包括所述第一金属层但不包括所述第二金属层。
本发明的另一实施例提供了一种半导体器件,包括:第一金属布线和第二金属布线,所述第一金属布线和所述第二金属布线在设置在衬底上方的同一层间介电层中形成,所述第一金属布线和所述第二金属布线设置在同一布线层上,所述布线层设置在所述层间介电层中,其中:所述第一金属布线至少包括由第一金属材料制成的第一金属层和设置在所述第一金属层上方的由第二金属材料制成的第二金属层,所述第二金属布线包括由所述第一金属材料制成的第一金属层但不包括由所述第二金属材料制成的任何金属层,以及所述第一金属材料不同于所述第二金属材料。
本发明的又一实施例提供了一种半导体器件,包括:第一金属布线和第二金属布线,所述第一金属布线和所述第二金属布线在设置在衬底上方的层间介电层中形成,所述第一金属布线和所述第二金属布线设置在同一布线层上,其中:所述第一金属布线包括具有多于一个导电层的分层结构,所述第二金属布线包括具有一个或多个导电层的分层结构,所述第一金属布线的分层结构不同于所述第二金属布线的分层结构。
附图说明
当结合附图进行阅读时,从以下详细描述可最佳理解本发明的各方面。应该注意,根据工业中的标准实践,各个部件未按比例绘制。实际上,为了清楚的讨论,各个部件的尺寸可以任意地增大或减小。
图1至图7示出根据本发明的一个实施例的用于制造半导体器件的金属布线结构的示例性顺序工艺。
图8和图9示出根据本发明的另一个实施例的用于制造金属布线结构的顺序工艺之一的示例性截面图。
图10A至图15示出根据本发明的另一个实施例的用于制造半导体器件的金属布线结构的示例性顺序工艺。
图16A至图21示出根据本发明的另一个实施例的用于制造半导体器件的金属布线结构的示例性顺序工艺。
具体实施方式
以下公开内容提供了许多不同的实施例或实例以实现本发明的不同特征。下面将描述元件和布置的特定实例以简化本发明。当然这些仅仅是实例并不旨在限定本发明。例如,在下面的描述中第一部件在第二部件上方或者在第二部件上的形成可以包括第一部件和第二部件以直接接触方式形成的实施例,也可以包括额外的部件可以形成在第一和第二部件之间,以便第一部件和第二部件可以不直接接触的实施例。为了简明和清楚,各个部件可任意地以不同比例绘制。
而且,为便于描述,在此可以使用诸如“在…之下”、“在…下方”、“下部”、“在…之上”、“上部”等的空间相对术语,以描述如图所示的一个元件或部件与另一个(或另一些)元件或部件的关系。除了图中所示的方位外,空间相对术语旨在包括器件在使用或操作中的不同方位。装置可以以其他方式定向(旋转90度或在其他方位上),而本文使用的空间相对描述符可以同样地作相应的解释。此外,术语“由…制成”可意指“包括”或“由…组成”。
图1至图7示出根据本发明的一个实施例的用于制造半导体器件的金属布线结构的示例性顺序工艺。在图1至图7中,示出了制造在衬底上方形成的金属布线层(布线层)之一的顺序工艺。尽管有构成位于衬底和金属布线层之间的半导体器件(下文称为“下面的结构”)的诸如晶体管或其他元件(例如,接触件等)的核心结构,但为了简洁在图1至图7中省略了这些下面的结构的详细图示。金属布线为在金属布线层中横向延伸的导电图案并且还可被称为互连件或互连金属层。
如图1所示,在设置在衬底1上方的下层结构5上方形成层间介电(ILD)层10。层间介电层还可被称为金属间介电(IMD)层。例如,ILD层10由一个或多个低-k介电材料层制成。低-k介电材料具有小于约4.0的k-值(介电常数)。一些低-k介电材料具有小于约3.5的k-值并且可具有小于约2.5的k-值。
ILD层10的材料可包括诸如SiCOH和SiOC的含有Si、O、C和/或H的化合物。诸如聚合物的有机材料可用于ILD层10。例如,在某些实施例中,ILD层10由含碳材料、有机-硅酸盐玻璃、含致孔剂的材料和/或其组合的一个或多个层制成。在一些实施例中,ILD层10中也可包括氮。ILD层10可为多孔层。在一个实施例中,ILD层10的密度小于约3g/cm3并且在其他实施例中可小于约2.5g/cm3。例如,可通过使用等离子体增强化学气相沉积(PECVD)、低压CVD(LPCVD)、原子层CVD(ALCVD)和/或旋涂技术形成ILD层10。在PECVD的情况下,在约25℃至约400℃的范围内的衬底温度下以及在小于100托的压力下沉积膜。
在一些实施例中,ILD层包括层间绝缘膜和线间绝缘膜,以便金属布线主要在金属间绝缘膜中形成。层间绝缘膜可包括SiOC膜,且线间绝缘膜可包括TEOS(正硅酸乙酯)膜。
如图2A和图2B所示,通过使用包括光刻和蚀刻工艺的图案化操作,在ILD层10中形成一个或多个第一凹槽15A和一个或多个第二凹槽15B。图2A是顶视图(平面图)且图2B是沿着图2A的线X1-X1截取的截面图。
在一些实施例中,可使用蚀刻停止层12以便可限定凹槽15A和15B的底部。在这种情况下,ILD层10可包括下ILD层10A和上ILD层10B,其中,蚀刻停止层12插入在下ILD层10A和上ILD层10B之间。下ILD层10A和上ILD层10B的材料可相同或不同。如果未使用蚀刻停止层,则可通过控制凹槽蚀刻的蚀刻时间或蚀刻速率控制凹槽的深度。在下面解释中,将其中形成凹槽的ILD 10的上部称为上ILD层10B,并将ILD 10的下部称为下ILD层10A,不论蚀刻停止层12是否存在。
如图2A和图2B所示,第一凹槽15A具有宽度Wa,其大于第二凹槽15B的宽度Wb。在一个实施例中,宽度Wa大于约40nm且小于约100μm,并且宽度Wb为从约40nm至约5nm的范围。在其他实施例中,宽度Wa大于约60nm且宽度Wb为从约30nm至10nm的范围。如图2A所示,凹槽15A和15B对应于金属布线,其通常具有长延伸线的形状。在垂直于金属布线(凹槽)的延伸方向的方向上限定宽度。
在一些实施例中,第一凹槽15A的深度Da为从约40nm至约100nm的范围,并且在其他实施例中为从约50nm至约80nm的范围。第二凹槽15B的深度Db与深度Da基本相同或略小于深度Da。
第一凹槽15A的高宽比(深度/宽度)小于约1,且第二凹槽15B的高宽比为从约1至约10的范围。
如图3所示,在凹槽中和在ILD 10上方形成阻挡层20。例如,阻挡层20由诸如TaN或TiN的过渡金属氮化物制成。在一些实施例中,阻挡层20的厚度为从约1nm至3nm的范围,并且在其他实施例中为从约1.5nm至约2.5nm的范围。可通过使用化学气相沉积(CVD)、物理气相沉积(PVD)、原子层沉积(ALD)或诸如无电极电镀的电镀形成阻挡层。
接下来,在阻挡层20上方形成第一金属层30。第一金属层30由Cu、Co、Al、Ru和Ag中的一种或多种制成。可通过ALD、PVD或CVD形成第一金属层30。ILD 10的上表面上的第一金属层的厚度T1为第二凹槽15B的宽度Wb的约50%或更大和约100%或更小并且小于约40nm。
关于该金属层形成操作,如图3所示,第二凹槽15B基本上由第一金属层30完全填充,同时第一凹槽15A未由第一金属层30完全填充。
然后,如图4所示,在第一金属层30上方形成第二金属层40。第二金属层40由Cu、Co、Al和Ag中的一种或多种制成,并且由与第一金属层30不同的材料制成。可通过PVD、CVD或电镀形成第二金属层40。ILD 10的上表面上的第二金属层的厚度T2为第一凹槽15A的宽度Wa的约50%或更大且小于约1000nm。在一些实施例中,T2为从约150nm至约1000nm的范围。
第二金属层40由与第一金属层30不同的材料制成。例如,当第一金属层30由Co制成时,第二金属层40由Cu、Al或Ag制成,并且当第一金属层30由Cu制成时,第二金属层40由Co、Al或Ag制成。在一个实施例中,第一金属层30由Co制成且第二金属层40由Cu制成。关于这些金属层形成操作,第一凹槽15A基本上由第一金属层30和第二金属层40完全填充。
在形成第二金属层40之后,实施诸如化学机械抛光(CMP)操作的平坦化操作。在本实施例中,平坦化操作包括三个CMP操作。
如图5所示,通过第一CMP操作,将第二金属层40部分去除。在一些实施例中,ILD10的上表面上的第二金属层40的剩余厚度T3为从约80nm至约120nm的范围。使用相对高的蚀刻速率实施第一CMP操作。
然后,如图6所示,实施第二CMP操作以部分去除第二金属层40和第一金属层30,并且CMP停止在ILD 10的上表面上的阻挡层20处。使用相对低的蚀刻速率实施第二CMP操作。
相对于第一金属层30,用于第一CMP的第一浆料对于第二金属层40具有约2或更大的蚀刻选择性。相对于第二金属层40,用于第二CMP的第二浆料对于第一金属层30具有约2或更大的蚀刻选择性。可通过调整抛光颗粒的类型、pH值、表面活性剂的类型、腐蚀抑制剂的类型以及螯合剂或强化剂的类型中的至少一个控制浆料的蚀刻选择性。
在第二CMP操作中,在暴露第一金属层30之后,第二金属层40的蚀刻速率小于第一金属层30的蚀刻速率。因此,即使第一凹槽15A具有更宽的图案宽度,仍可最小化第二金属层40的凹陷效应。在一个实施例中,从阻挡层20的上表面测量的金属填充的凹槽15A的中心处的凹陷量Dd为从约10nm至约20nm的范围。
在第二CMP操作之后,如图7所示,实施第三CMP操作以去除设置在ILD 10上表面上的阻挡层20并且获得金属层的期望的厚度和平坦度。用于第三CMP的第三浆料对于第二金属层40和第一金属层30具有基本相等的蚀刻速率。
通过第三CMP操作,在设置在同一层间介电层中的一个金属层层级(同一金属层层级)中形成第一金属布线M1A和第二金属布线M1B。第一金属布线M1A包括阻挡层20、第一金属层30和第二金属层40,并且第二金属布线M1B包括阻挡层20和第一金属层30而没有第二金属层40。换言之,第一金属布线M1A和第二金属布线M1B的层结构不同,并且特别地,第一金属布线M1A的导电层的数量不同于(大于)第二金属布线M1B的导电层的数量。在形成位于一个金属层中的金属布线之后,在ILD 10以及金属布线M1A和M1B上方形成第二ILD。在平面图中金属布线M1A和M1B横向延伸并且用于电连接位于不同横向位置的不同元件。
在上述实施例中,如图3所示,第二凹槽15B基本上由第一金属层30完全填充。然而,在一些实施例中,如图8所示,在第二凹槽15B中形成裂缝或空隙35。裂缝或空隙35的宽度Ws为从约1nm至约5nm的范围。
当形成裂缝或空隙时,如图8所示,实施热处理HT以去除裂缝或空隙35。热处理包括在熔炉中的快速热退火(RTA)操作或加热操作。在一些实施例中,在惰性气体(例如,Ar和/或N2)环境中,在从约200℃至约500℃的范围内的温度下将RTA实施约1分钟至约10分钟。可在惰性气体(例如,Ar和/或N2)环境中,在从约200℃至约500℃的范围内的温度下实施熔炉加热约10分钟至约30分钟。通过热处理,第一金属层30中的晶粒生长并且填充裂缝或空隙35。
在一些实施例中,如图9所示,在形成第二金属层40之后实施热处理HT。可在平坦化操作之后或之间实施热处理HT。例如,分别在形成第一金属层之后和形成第二金属层之后,可将热处理实施两次或多次。
图10A至图15示出根据本发明的另一个实施例的用于制造半导体器件的金属布线结构的示例性顺序工艺。
在图10A至图15中,示出用于制造通孔层(通孔层级)之一的顺序工艺,所述通孔层在衬底上方的垂直方向上在两个金属布线层(层级)之间或者在一个金属布线层和下面的结构之间形成。通孔是在通孔层中垂直延伸的导电图案并且连接下层导电图案和上层导电图案。通孔还可被称为通孔插塞或接触插塞。关于图1至图9描述的相同或类似的结构、操作、工艺和/或材料可应用于下列实施例并且为了简洁可省略其详细描述。
与图1类似,在设置在衬底1上方的下层结构5上方形成层间介电(ILD)层10。在该实施例中,形成对应于图2B的ILD 10的下部的ILD层10A。
如图10A和图10B所示,通过使用包括光刻和蚀刻工艺的图案化操作在ILD层10A中形成一个或多个第一导通孔16A以及一个或多个第二导通孔16B。图10A是顶视图(平面图)且图10B是沿着图10A的线X2-X2截取的截面图。
如图10A以及图10B所示,在下导电图案7A上方形成第一导通孔16A并在下导电图案7B上方形成第二导通孔16B。分别在第一和第二导通孔16A和16B的底部暴露下导电图案7A和7B。下导电图案7A和7B可为位于下面的核心结构中的导电图案或者位于下金属布线层中的导电图案。
如图10A以及图10B所示,第一导通孔16A具有宽度Wc,其大于第二导通孔16B的宽度Wd。在一个实施例中,宽度Wc大于约40nm且小于约150nm,并且宽度Wd为从约40nm至约5nm的范围。在其他实施例中,宽度Wc大于约60nm且宽度Wd为从约30nm至10nm的范围。如图10A所示,在平面图中,导通孔16A以及16B具有基本上圆形形状。将宽度定义为圆形的直径。当第一导通孔的尺寸足够大时,第一导通孔的形状为圆角正方形。如果在设计方案上导通孔具有矩形形状,则在ILD 10A中形成的导通孔具有椭球形或圆矩形。
在一些实施例中,第一导通孔16A和第二导通孔16B的深度Dc为从约40nm至约100nm的范围,并且在其他实施例中为从约50nm至约80nm的范围。
第一导通孔16A的高宽比(深度/宽度)小于约1,并且第二导通孔16B的高宽比为从约1至约10的范围。
与图3类似,如图11所示,在凹槽中和在ILD 10A上方形成阻挡层20。例如,阻挡层20由诸如TaN或TiN的过渡金属氮化物制成。在一些实施例中,阻挡层20的厚度为从约1nm至3nm的范围,并且在其他实施例中,为从约1.5nm至约2.5nm的范围。可通过使用化学气相沉积(CVD)、物理气相沉积(PVD)、原子层沉积(ALD)或诸如无电极电镀的电镀形成阻挡层。
接下来,与图3类似,如图11所示,在阻挡层20上方形成第一金属层30。第一金属层30由Cu、Co、Ru、Al和Ag中的一种或多种制成。可通过ALD、PVD或CVD形成第一金属层30。ILD10的上表面上的第一金属层的厚度T1为第二导通孔16B的宽度Wd的约50%或更大和约100%或更小并且小于约40nm。
关于该金属层形成操作,如图11所示,第二导通孔16B基本上由第一金属层30完全填充,同时第一导通孔16A未由第一金属层30完全填充。
然后,如图12所示,在第一金属层30上方形成第二金属层40。第二金属层40由Cu、Co、Al和Ag中的一种或多种制成,并且由与第一金属层30不同的材料制成。可通过PVD、CVD或电镀形成第二金属层40。ILD 10的上表面上的第二金属层的厚度T2为第一导通孔16A的宽度Wc的约50%或更大且小于约600nm。在一些实施例中,T2为从约100nm至约600nm的范围。在一个实施例中,第一金属层30由Co制成且第二金属层40由Cu制成。关于这些金属层形成操作,第一导通孔16A基本上由第一金属层30和第二金属层40完全填充。
在形成第二金属层40之后,实施诸如化学机械抛光(CMP)操作的平坦化操作。在本实施例中,平坦化操作包括三个CMP操作。
如图13所示,通过第一CMP操作,将第二金属层40部分去除。在一些实施例中,ILD10A的上表面上的第二金属层40的剩余厚度T3为从约80nm至约120nm的范围。使用相对高的蚀刻速率实施第一CMP操作。
然后,实施第二CMP操作以部分去除第二金属层40和第一金属层30。如图14所示,CMP停止在ILD 10A的上表面上的阻挡层20处。使用相对低的蚀刻速率实施第二CMP操作。
相对于第一金属层30,用于第一CMP的第一浆料对于第二金属层40具有约2或更大的蚀刻选择性。相对于第二金属层40,用于第二CMP的第二浆料对于第一金属层30具有约2或更大的蚀刻选择性。
在第二CMP操作中,在暴露第一金属层30之后,第二金属层40的蚀刻速率小于第一金属层30的蚀刻速率。因此,即使第一导通孔16A具有更宽的图案宽度,仍可最小化第二金属层40的凹陷效应。在一个实施例中,从阻挡层20的上表面测量的金属填充的凹槽16A的中心处的凹陷量Dd为从约10nm至约20nm的范围。
在第二CMP操作之后,如图15所示,实施第三CMP操作以去除设置在ILD 10A的上表面上的阻挡层20并且获得通孔插塞的期望的厚度和平坦度。用于第三CMP的第三浆料对于第二金属层40和第一金属层30具有基本相等的蚀刻速率。
通过第三CMP操作,在一个通孔层层级中形成第一通孔插塞VA和第二通孔插塞VB。第一通孔插塞VA包括阻挡层20、第一金属层30和第二金属层40,并且第二通孔插塞VB包括阻挡层20和第一金属层30而没有第二金属层40。在形成位于一个通孔层中的通孔插塞之后,在ILD 10A以及通孔插塞VA和VB上方形成第二ILD。通孔插塞VA和VB分别用于连接上层元件和下层元件。
与图8和图9类似,当在第一金属层30中形成裂缝或空隙时,实施热处理以去除裂缝或空隙。
图16A至图21示出根据本发明的另一个实施例的用于制造半导体器件的金属布线结构的示例性顺序工艺。
在图16A至图21中,示出用于制造金属布线层(布线层级)之一以及设置在金属布线层之一的正下方的通孔层之一的顺序工艺。尽管有构成位于衬底和金属布线层之间的半导体器件(下文称为“下面的结构”)的诸如晶体管或其他元件(例如,接触件等)的核心结构,但为了简洁在图16A至图21中省略这些下面的结构的详细插图。关于图1至图15描述的相同或类似的结构、操作、工艺和/或材料可应用于下列实施例并且为了简洁可省略其详细描述。
如图1所示,在设置在衬底1上方的下层结构5上方形成层间介电(ILD)层10。
如图16A以及图16B所示,通过使用包括光刻和蚀刻工艺的图案化操作,在ILD 10的上ILD 10B中形成一个或多个凹槽15C,并在下ILD层10A中形成一个或多个第一导通孔17A和一个或多个第二导通孔17B。图16A是顶视图(平面图)且图16B是沿着图16A的线X3-X3截取的截面图。
如图16A以及图16B所示,在下导电图案7A上方形成第一导通孔17A并在下导电图案7B上方形成第二导通孔17B。分别在第一和第二导通孔17A以及17B的底部暴露下导电图案7A以及7B。下导电图案7A以及7B可为位于下面的核心结构中的导电图案或者位于下金属布线层中的导电图案。
如图16A以及图16B所示,第一导通孔17A具有宽度Wc’,其大于第二导通孔17B的宽度Wd’。在一个实施例中,宽度Wc’大于约40nm且宽度Wd’为从约40nm至约5nm的范围。值Wc’/Wd’小于约25。在其他实施例中,宽度Wc’大于约60nm且宽度Wd’为从约30nm至10nm的范围。凹槽15C具有宽度We,其大于第二导通孔17B的宽度Wd’。宽度We可等于或大于第一导通孔17A的宽度Wc’。尽管在图16A以及16B中的一个凹槽15C中形成第一和第二导通孔17A以及17B,但可在不同凹槽中形成第一和第二导通孔。
在一些实施例中,凹槽15C的深度Da’为从约40nm至约100nm的范围,并且在其他实施例中为从约50nm至约80nm的范围。在一些实施例中,第一导通孔17A和第二导通孔17B的深度Dc’为从约40nm至约100nm的范围,并且在其他实施例中为从约50nm至约80nm的范围。
凹槽15C的高宽比(深度/宽度)小于约1。第一导通孔17A的高宽比(深度/宽度)小于约1,且第二导通孔17B的高宽比为从约1至约10的范围。
如图17所示,在凹槽15C、第一和第二导通孔17A以及17B中以及在ILD10B上方形成阻挡层20。例如,阻挡层20由诸如TaN或TiN的过渡金属氮化物制成。在一些实施例中,阻挡层20的厚度为从约1nm至3nm的范围,并且在其他实施例中为从约1.5nm至约2.5nm的范围。可通过使用化学气相沉积(CVD)、物理气相沉积(PVD)、原子层沉积(ALD)或诸如无电极电镀的电镀形成阻挡层。
接下来,在阻挡层20上方形成第一金属层30。第一金属层30由Cu、Co、Ru、Al和Ag中的一种或多种制成。可通过ALD、PVD或CVD形成第一金属层30。ILD 10的上表面上的第一金属层的厚度T1为第二导通孔17B的宽度Wd’的约50%或更大和约100%或更小并且小于约40nm。
关于该金属层形成操作,如图17所示,第二导通孔17B基本上由第一金属层30完全填充,同时凹槽15C和第一导通孔17A未由第一金属层30完全填充。
然后,如图18所示,在第一金属层30上方形成第二金属层40。第二金属层40由Cu、Co、Al和Ag中的一种或多种制成,并且由与第一金属层30不同的材料制成。可通过PVD、CVD或电镀形成第二金属层40。ILD 10B的上表面上的第二金属层的厚度T2为凹槽15C的宽度We的约50%或更大且小于约1000nm。在一些实施例中,T2为从约150nm至约1000nm的范围。
第二金属层40由与第一金属层30不同的材料制成。例如,当第一金属层30由Co制成时,第二金属层40由Cu、Al或Ag制成,并且当第一金属层30由Cu制成时,第二金属层40由Co、Al或Ag制成。在一个实施例中,第一金属层30由Co制成且第二金属层40由Cu制成。关于这些金属层形成操作,凹槽15C和第一导通孔17A基本上由第一金属层30和第二金属层40完全填充。
在形成第二金属层40之后,实施诸如化学机械抛光(CMP)操作的平坦化操作。在本实施例中,平坦化操作包括三个CMP操作。
如图19所示,通过第一CMP操作,将第二金属层40部分去除。在一些实施例中,ILD10B的上表面上的第二金属层40的剩余厚度T3为从约80nm至约120nm的范围。使用相对高的蚀刻速率实施第一CMP操作。
然后,如图19所示,实施第二CMP操作以部分去除第二金属层40和第一金属层30,并且CMP停止在ILD 10B的上表面上的阻挡层20处。使用相对低的蚀刻速率实施第二CMP操作。
在第二CMP操作中,在暴露第一金属层30之后,第二金属层40的蚀刻速率小于第一金属层30的蚀刻速率。因此,即使凹槽15C具有更宽的图案宽度,仍可最小化第二金属层40的凹陷效应。在一个实施例中,从阻挡层20的上表面测量的金属填充的凹槽15C的中心处的凹陷量Dd为从约10nm至约20nm的范围。
在第二CMP操作之后,如图21所示,实施第三CMP操作以去除设置在ILD 10B的上表面上的阻挡层20并且获得金属层的期望的厚度和平坦度。用于第三CMP的第三浆料对于第二金属层40和第一金属层30具有基本相等的蚀刻速率。
通过第三CMP操作,在一个金属层层级中形成金属布线M1,并在位于一个金属层层级之下的一个通孔层层级中形成第一通孔插塞VA和第二通孔插塞VB。金属布线M1和第一通孔插塞VA包括阻挡层20,第一金属层30和第二金属层40,以及第二通孔插塞VB包括阻挡层20和第一金属层30而没有第二金属层40。在形成一个金属层中的金属布线之后,在ILD 10B和金属布线MA以及通孔插塞VA和VB上方形成第二ILD。
与图8以及图9类似,当在第一金属层30中形成裂缝或空隙时,实施热处理以去除裂缝或空隙。
上述实施例并不互相排斥,并且可将不同实施例结合。此外,图案(例如,凹槽、导通孔)的数量不局限于附图所示的数量。
本文描述的各个实施例或实例提供若干优于现有技术的优点。例如,在本发明中,由于使用两种不同的金属层和两个不同的平坦化操作(CMP),因此能够降低更宽图案中的凹陷效应。此外,低的凹陷效应可降低CMP中膜的总损失并且降低抛光时间。而且,可改善图案形貌,从而提高制造产量。
应该理解,本文不必讨论所有优点,没有特定优势是所有实施例或实例都必需的,并且其他实施例或实例可提供不同优点。
根据本发明的一个方面,在制造半导体器件的方法中,在衬底上方形成介电层。在第一层间介电层中形成第一图案和第二图案。第一图案的宽度大于第二图案的宽度。在第一图案和第二图案中形成第一金属层。在第一图案中形成第二金属层。对第一和第二金属层实施平坦化操作以便形成通过第一图案的第一金属布线和通过第二图案的第二金属布线。第一金属层的金属材料不同于第二金属层的金属材料。第一金属布线包括第一和第二金属层并且第二金属布线包括第一金属层但不包括第二金属层。
在上述方法中,还包括,在形成所述第一金属层之前,在所述第一图案和所述第二图案中以及在所述介电层的上表面上方形成第三金属层。
在上述方法中,其中:所述第一金属层的金属材料包括Cu、Co、Ru、Al和Ag中的一种,以及所述第二金属层的金属材料包括Cu、Co、Al和Ag中的一种。
在上述方法中,其中:所述第一金属层的金属材料包括Co,以及所述第二金属层的金属材料包括Cu。
在上述方法中,还包括,在形成所述第一金属层之前,在所述第一图案和所述第二图案中以及在所述介电层的上表面上方形成第三金属层,其中,所述第三金属层包括TiN或TaN。
在上述方法中,其中,所述平坦化操作包括:第一平坦化操作,其中,所述第二金属层的蚀刻速率高于所述第一金属层的蚀刻速率;以及在所述第一平坦化操作之后实施的第二平坦化操作,其中,所述第二金属层的蚀刻速率小于所述第一金属层的蚀刻速率。
在上述方法中,其中,所述平坦化操作包括:第一平坦化操作,其中,所述第二金属层的蚀刻速率高于所述第一金属层的蚀刻速率;以及在所述第一平坦化操作之后实施的第二平坦化操作,其中,所述第二金属层的蚀刻速率小于所述第一金属层的蚀刻速率,其中,实施所述第一平坦化操作,使得不暴露所述第一金属层。
在上述方法中,还包括,在形成所述第一金属层之前,在所述第一图案和所述第二图案中以及在所述介电层的上表面上方形成第三金属层,其中:所述平坦化操作包括:第一平坦化操作,其中,所述第二金属层的蚀刻速率高于所述第一金属层的蚀刻速率;以及在所述第一平坦化操作之后实施的第二平坦化操作,其中,所述第二金属层的蚀刻速率小于所述第一金属层的蚀刻速率,以及所述第二平坦化操作使用设置在所述介电层的上表面上方的所述第三金属层作为蚀刻停止层。
在上述方法中,还包括,在形成所述第一金属层之前,在所述第一图案和所述第二图案中以及在所述介电层的上表面上方形成第三金属层,其中:所述平坦化操作包括:第一平坦化操作,其中,所述第二金属层的蚀刻速率高于所述第一金属层的蚀刻速率;以及在所述第一平坦化操作之后实施的第二平坦化操作,其中,所述第二金属层的蚀刻速率小于所述第一金属层的蚀刻速率,以及所述第二平坦化操作使用设置在所述介电层的上表面上方的所述第三金属层作为蚀刻停止层,所述平坦化操作包括:在所述第二平坦化操作之后实施的第三平坦化操作,其中,通过所述第三平坦化操作去除设置在所述介电层的上表面上方的所述第三金属层。
在上述方法中,其中,所述第一图案和所述第二图案是在平面图中横向延伸的凹槽并且用于金属布线以电连接位于不同横向位置处的不同元件。
在上述方法中,其中,所述第一图案和所述第二图案是在所述介电层中垂直延伸的孔并且用于连接上层元件和下层元件的接触插塞。
在上述方法中,还包括在形成所述第一金属层之后且在形成所述第二金属层之前实施热处理。
在上述方法中,还包括在形成所述第二金属层之后实施热处理。
根据本发明的另一个方面,半导体器件包括第一金属布线和第二金属布线,第一金属布线和第二金属布线在设置在衬底上方的层间介电层中形成。第一金属布线和第二金属布线设置在同一布线层上。第一金属布线至少包括由第一材料制成的第一金属层和设置在第一金属层上方的由第二金属材料制成的第二金属层。第二金属布线包括由第一金属材料制成的第一金属层但不包括由第二金属材料制成的任何金属层。第一金属材料不同于第二金属材料。
在上述半导体器件中,其中:所述第一金属布线还包括设置所述第一金属布线的所述第一金属层下方的由第三金属材料制成的金属阻挡层,以及所述第二金属布线还包括设置在所述第二金属布线的所述第一金属层下方的由所述第三金属材料制成的金属阻挡层。
在上述半导体器件中,其中:所述第一金属材料包括Cu、Co、Ru、Al和Ag中的一种,以及所述第二金属材料包括Cu、Co、Al和Ag中的一种。
在上述半导体器件中,其中:所述第一金属层的金属材料包括Co,以及所述第二金属层的金属材料包括Cu。
在上述半导体器件中,其中:所述第一金属布线还包括设置所述第一金属布线的所述第一金属层下方的由第三金属材料制成的金属阻挡层,以及所述第二金属布线还包括设置在所述第二金属布线的所述第一金属层下方的由所述第三金属材料制成的金属阻挡层,其中,所述第三金属材料包括TiN或TaN。
根据本发明的另一个方面,半导体器件包括第一金属布线和第二金属布线,第一金属布线和第二金属布线在设置在衬底上方的层间介电层中形成。第一金属布线和第二金属布线设置在同一布线层上。第一金属布线包括具有多于一个导电层的分层结构,并且第二金属布线包括具有一个或多个导电层的分层结构。第一金属布线的分层结构不同于第二金属布线的分层结构。
在上述半导体器件中,其中:所述第一金属布线中的导电层的数量大于所述第二金属布线中的导电层的数量。
上面论述了若干实施例的部件,以便本领域技术人员可以更好地理解本发明的各个方面。本领域技术人员应该理解,他们可以很容易地使用本发明作为基础来设计或更改其他用于达到与本文所介绍实施例相同的目的和/或实现相同优点的工艺和结构。本领域技术人员也应该意识到,这些等效结构并不背离本发明的精神和范围,并且在不背离本发明的精神和范围的情况下,可以进行多种变化、替换以及改变。

Claims (19)

1.一种制造半导体器件的方法,包括:
在衬底上方形成介电层;
在所述介电层中形成第一图案和第二图案,所述第一图案的宽度大于所述第二图案的宽度;
在所述第一图案和所述第二图案中形成第一金属层;
在所述第一图案中形成第二金属层;以及
对所述第一金属层和所述第二金属层实施平坦化操作以便形成通过所述第一图案的第一金属布线和通过所述第二图案的第二金属布线,其中:
所述第一金属层的金属材料不同于所述第二金属层的金属材料,以及
所述第一金属布线包括所述第一金属层和所述第二金属层,且所述第二金属布线包括所述第一金属层但不包括所述第二金属层;
其中,所述平坦化操作包括:
第一平坦化操作,其中,所述第二金属层的蚀刻速率高于所述第一金属层的蚀刻速率;以及
在所述第一平坦化操作之后实施的第二平坦化操作,其中,所述第二金属层的蚀刻速率小于所述第一金属层的蚀刻速率。
2.根据权利要求1所述的方法,还包括,在形成所述第一金属层之前,在所述第一图案和所述第二图案中以及在所述介电层的上表面上方形成第三金属层。
3.根据权利要求1所述的方法,其中:
所述第一金属层的金属材料包括Cu、Co、Ru、Al和Ag中的一种,以及所述第二金属层的金属材料包括Cu、Co、Al和Ag中的一种。
4.根据权利要求1所述的方法,其中:
所述第一金属层的金属材料包括Co,以及
所述第二金属层的金属材料包括Cu。
5.根据权利要求2所述的方法,其中,所述第三金属层包括TiN或TaN。
6.根据权利要求1所述的方法,其中,实施所述第一平坦化操作,使得不暴露所述第一金属层。
7.根据权利要求2所述的方法,其中:
所述第二平坦化操作使用设置在所述介电层的上表面上方的所述第三金属层作为蚀刻停止层。
8.根据权利要求7所述的方法,其中,所述平坦化操作包括:
在所述第二平坦化操作之后实施的第三平坦化操作,
其中,通过所述第三平坦化操作去除设置在所述介电层的上表面上方的所述第三金属层。
9.根据权利要求1所述的方法,其中,所述第一图案和所述第二图案是在平面图中横向延伸的凹槽并且用于金属布线以电连接位于不同横向位置处的不同元件。
10.根据权利要求1所述的方法,其中,所述第一图案和所述第二图案是在所述介电层中垂直延伸的孔并且用于连接上层元件和下层元件的接触插塞。
11.根据权利要求1所述的方法,还包括在形成所述第一金属层之后且在形成所述第二金属层之前实施热处理。
12.根据权利要求1所述的方法,还包括在形成所述第二金属层之后实施热处理。
13.一种半导体器件,包括:
第一金属布线,第二金属布线和第三金属布线,所述第一金属布线和所述第二金属布线在设置在衬底上方的同一下层层间介电层中形成,所述第一金属布线和所述第二金属布线设置在同一布线层上,所述布线层设置在所述下层层间介电层中,所述第三金属布线在与所述下层层间介电层直接接触的上层层间介电层中形成,设置在所述第一金属布线和所述第二金属布线上方并且连接至所述第一金属布线和所述第二金属布线,其中:
所述第一金属布线至少包括由第一金属材料制成的第一金属层和设置在所述第一金属层上方的由第二金属材料制成的第二金属层,
所述第二金属布线包括由所述第一金属材料制成的第一金属层但不包括由所述第二金属材料制成的任何金属层,
所述第三金属布线包括由第一金属材料制成的第一金属层和设置在所述第一金属层上方的由第二金属材料制成的第二金属层,以及
所述第一金属材料不同于所述第二金属材料。
14.根据权利要求13所述的半导体器件,其中:
所述第一金属布线还包括设置所述第一金属布线的所述第一金属层下方的由第三金属材料制成的金属阻挡层,以及
所述第二金属布线还包括设置在所述第二金属布线的所述第一金属层下方的由所述第三金属材料制成的金属阻挡层。
15.根据权利要求13所述的半导体器件,其中:
所述第一金属材料包括Cu、Co、Ru、Al和Ag中的一种,以及
所述第二金属材料包括Cu、Co、Al和Ag中的一种。
16.根据权利要求13所述的半导体器件,其中:
所述第一金属层的金属材料包括Co,以及
所述第二金属层的金属材料包括Cu。
17.根据权利要求14所述的半导体器件,其中,所述第三金属材料包括TiN或TaN。
18.一种半导体器件,包括:
第一金属布线,第二金属布线和第三金属布线,所述第一金属布线和所述第二金属布线在设置在衬底上方的同一下层层间介电层中形成,所述第一金属布线和所述第二金属布线设置在同一布线层上,所述第三金属布线在与所述下层层间介电层直接接触的上层层间介电层中形成,设置在所述第一金属布线和所述第二金属布线上方并且连接至所述第一金属布线和所述第二金属布线,其中:
所述第一金属布线和所述第三金属布线各自包括具有多于一个导电层的分层结构,所述第二金属布线包括具有一个或多个导电层的分层结构,
所述第一金属布线和所述第三金属布线的分层结构不同于所述第二金属布线的分层结构。
19.根据权利要求18所述的半导体器件,其中:
所述第一金属布线中的导电层的数量大于所述第二金属布线中的导电层的数量。
CN201610620077.8A 2015-09-28 2016-08-01 半导体器件及其制造方法 Active CN106558542B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/868,377 US9530737B1 (en) 2015-09-28 2015-09-28 Semiconductor device and manufacturing method thereof
US14/868,377 2015-09-28

Publications (2)

Publication Number Publication Date
CN106558542A CN106558542A (zh) 2017-04-05
CN106558542B true CN106558542B (zh) 2019-09-06

Family

ID=57590145

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201610620077.8A Active CN106558542B (zh) 2015-09-28 2016-08-01 半导体器件及其制造方法

Country Status (5)

Country Link
US (3) US9530737B1 (zh)
KR (3) KR20170037808A (zh)
CN (1) CN106558542B (zh)
DE (1) DE102016100002B4 (zh)
TW (1) TWI616977B (zh)

Families Citing this family (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9530737B1 (en) * 2015-09-28 2016-12-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10211153B2 (en) 2016-08-30 2019-02-19 International Business Machines Corporation Low aspect ratio interconnect
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
CN109148356A (zh) * 2017-06-15 2019-01-04 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
JP6947914B2 (ja) 2017-08-18 2021-10-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧高温下のアニールチャンバ
US10636701B2 (en) * 2017-09-29 2020-04-28 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming semiconductor devices using multiple planarization processes
KR102396319B1 (ko) 2017-11-11 2022-05-09 마이크로머티어리얼즈 엘엘씨 고압 프로세싱 챔버를 위한 가스 전달 시스템
KR20200075892A (ko) 2017-11-17 2020-06-26 어플라이드 머티어리얼스, 인코포레이티드 고압 처리 시스템을 위한 컨덴서 시스템
EP3729495A4 (en) * 2017-12-22 2021-08-11 INTEL Corporation INTERCONNECTION STRUCTURES FOR INTEGRATED CIRCUITS
JP7239598B2 (ja) 2018-03-09 2023-03-14 アプライド マテリアルズ インコーポレイテッド 金属含有材料の高圧アニーリングプロセス
US11121075B2 (en) * 2018-03-23 2021-09-14 Qualcomm Incorporated Hybrid metallization interconnects for power distribution and signaling
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
CN108959771B (zh) * 2018-07-03 2020-05-12 北京华大九天软件有限公司 一种使用两种金属的等电阻布线方法
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US11189662B2 (en) 2018-08-13 2021-11-30 Micron Technology Memory cell stack and via formation for a memory device
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11075165B2 (en) 2019-07-19 2021-07-27 Applied Materials, Inc. Methods and apparatus for forming dual metal interconnects
US11024537B2 (en) 2019-08-09 2021-06-01 Applied Materials, Inc. Methods and apparatus for hybrid feature metallization
US11101174B2 (en) * 2019-10-15 2021-08-24 Applied Materials, Inc. Gap fill deposition process
KR102675935B1 (ko) 2019-12-16 2024-06-18 삼성전자주식회사 반도체 소자
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
US11430950B2 (en) * 2020-03-27 2022-08-30 Micron Technology, Inc. Low resistance via contacts in a memory device
KR102615866B1 (ko) 2020-12-01 2023-12-21 대한민국 Gis 기반 재배작물 확인 시스템 및 방법
CN113380761A (zh) * 2021-05-13 2021-09-10 中国科学院微电子研究所 半导体器件及其制备方法
US20230101107A1 (en) * 2021-09-24 2023-03-30 Intel Corporation Simultaneous filling of variable aspect ratio single damascene contact to gate and trench vias with low resistance barrierless selective metallization
US20230238318A1 (en) 2022-01-27 2023-07-27 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming bottom electrode via for memory device

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6294471B1 (en) * 2000-09-27 2001-09-25 Vanguard International Semiconductor Corporation Method of eliminating dishing effect in polishing of dielectric film
CN1581476A (zh) * 2003-08-04 2005-02-16 三星电子株式会社 无孔隙金属互连结构及其形成方法

Family Cites Families (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR19980029400A (ko) 1996-10-25 1998-07-25 김광호 반도체소자 금속배선 형성 방법
JP3031301B2 (ja) 1997-06-25 2000-04-10 日本電気株式会社 銅配線構造およびその製造方法
US6258711B1 (en) * 1999-04-19 2001-07-10 Speedfam-Ipec Corporation Sacrificial deposit to improve damascene pattern planarization in semiconductor wafers
KR100640162B1 (ko) 1999-06-28 2006-10-31 주식회사 하이닉스반도체 가스 분압차를 이용한 반도체 소자의 금속배선 형성방법
US6399486B1 (en) * 1999-11-22 2002-06-04 Taiwan Semiconductor Manufacturing Company Method of improved copper gap fill
US7041599B1 (en) 1999-12-21 2006-05-09 Applied Materials Inc. High through-put Cu CMP with significantly reduced erosion and dishing
JP3805588B2 (ja) * 1999-12-27 2006-08-02 株式会社日立製作所 半導体装置の製造方法
US6977224B2 (en) 2000-12-28 2005-12-20 Intel Corporation Method of electroless introduction of interconnect structures
KR20030001860A (ko) 2001-06-28 2003-01-08 주식회사 하이닉스반도체 반도체 소자의 금속 배선 형성방법
US7029373B2 (en) * 2001-08-14 2006-04-18 Advanced Technology Materials, Inc. Chemical mechanical polishing compositions for metal and associated materials and method of using same
US6846683B2 (en) * 2002-05-10 2005-01-25 Infineon Technologies Ag Method of forming surface-smoothing layer for semiconductor devices with magnetic material layers
US6890833B2 (en) * 2003-03-26 2005-05-10 Infineon Technologies Ag Trench isolation employing a doped oxide trench fill
US6713835B1 (en) 2003-05-22 2004-03-30 International Business Machines Corporation Method for manufacturing a multi-level interconnect structure
US20040259366A1 (en) * 2003-06-20 2004-12-23 Kim Seong Han Method and composition for the chemical-vibrational-mechanical planarization of copper
US7192495B1 (en) 2003-08-29 2007-03-20 Micron Technology, Inc. Intermediate anneal for metal deposition
KR100541051B1 (ko) 2003-09-09 2006-01-11 삼성전자주식회사 반도체 소자의 배선 형성방법
KR100566698B1 (ko) 2003-11-19 2006-04-03 삼성전자주식회사 반도체 장치의 구리 배선 형성 방법
JP4012180B2 (ja) * 2004-08-06 2007-11-21 株式会社東芝 Cmp用スラリー、研磨方法、および半導体装置の製造方法
US7425499B2 (en) 2004-08-24 2008-09-16 Micron Technology, Inc. Methods for forming interconnects in vias and microelectronic workpieces including such interconnects
US20060091551A1 (en) 2004-10-29 2006-05-04 Taiwan Semiconductor Manufacturing Co., Ltd. Differentially metal doped copper damascenes
JP4776269B2 (ja) * 2005-04-28 2011-09-21 株式会社東芝 金属膜cmp用スラリー、および半導体装置の製造方法
KR100675280B1 (ko) 2005-06-22 2007-01-29 삼성전자주식회사 반도체소자의 선택적 구리 합금 배선 및 그 형성방법
US20070135024A1 (en) * 2005-12-08 2007-06-14 Itsuki Kobata Polishing pad and polishing apparatus
US7528066B2 (en) 2006-03-01 2009-05-05 International Business Machines Corporation Structure and method for metal integration
US7396768B2 (en) * 2006-10-20 2008-07-08 Hitachi Global Storage Technologies Netherlands B.V. Copper damascene chemical mechanical polishing (CMP) for thin film head writer fabrication
US7541298B2 (en) * 2007-01-10 2009-06-02 United Microelectronics Corp. STI of a semiconductor device and fabrication method thereof
KR20090048820A (ko) 2007-11-12 2009-05-15 삼성전자주식회사 반도체 소자 및 그 제조방법
US7951414B2 (en) 2008-03-20 2011-05-31 Micron Technology, Inc. Methods of forming electrically conductive structures
JP2009278000A (ja) * 2008-05-16 2009-11-26 Toshiba Corp 半導体装置及び半導体装置の製造方法
US8598039B2 (en) 2008-08-20 2013-12-03 Acm Research (Shanghai) Inc. Barrier layer removal method and apparatus
US8043884B1 (en) * 2010-05-24 2011-10-25 Nanya Technology Corporation Methods of seamless gap filling
US20120153483A1 (en) * 2010-12-20 2012-06-21 Akolkar Rohan N Barrierless single-phase interconnect
US8828745B2 (en) * 2011-07-06 2014-09-09 United Microelectronics Corp. Method for manufacturing through-silicon via
KR20130087929A (ko) * 2012-01-30 2013-08-07 에스케이하이닉스 주식회사 트랜치 소자분리층을 갖는 반도체소자 및 그 제조방법
JP5820416B2 (ja) 2013-03-22 2015-11-24 株式会社東芝 半導体装置及びその製造方法
US9576892B2 (en) 2013-09-09 2017-02-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of forming same
US9530737B1 (en) * 2015-09-28 2016-12-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10008418B2 (en) * 2016-09-30 2018-06-26 Taiwan Semiconductor Manufacturing Co., Ltd. Method of semiconductor integrated circuit fabrication

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6294471B1 (en) * 2000-09-27 2001-09-25 Vanguard International Semiconductor Corporation Method of eliminating dishing effect in polishing of dielectric film
CN1581476A (zh) * 2003-08-04 2005-02-16 三星电子株式会社 无孔隙金属互连结构及其形成方法

Also Published As

Publication number Publication date
DE102016100002A1 (de) 2017-03-30
US9721894B2 (en) 2017-08-01
KR20200006024A (ko) 2020-01-17
US20170287842A1 (en) 2017-10-05
KR102208852B1 (ko) 2021-01-29
CN106558542A (zh) 2017-04-05
TW201712799A (zh) 2017-04-01
US11127680B2 (en) 2021-09-21
US20170092591A1 (en) 2017-03-30
US9530737B1 (en) 2016-12-27
TWI616977B (zh) 2018-03-01
DE102016100002B4 (de) 2023-11-09
KR20180053605A (ko) 2018-05-23
KR20170037808A (ko) 2017-04-05

Similar Documents

Publication Publication Date Title
CN106558542B (zh) 半导体器件及其制造方法
CN106711084B (zh) 用于气隙形成的多阻挡件沉积
US8802538B1 (en) Methods for hybrid wafer bonding
CN102593096B (zh) 在顶部金属层上形成金属-绝缘体-金属电容器
CN103730410B (zh) 于通孔底部具有自形成阻障层的半导体装置
CN106571334A (zh) 一种硅片间的混合键合方法
TWI416679B (zh) 半導體結構及其製造方法
CN105374794B (zh) 互连结构及其形成方法
CN106887443A (zh) 半导体结构及其形成方法
CN107039380A (zh) 接合结构及其形成方法
TWI570840B (zh) 半導體裝置及其製造方法
CN106158827A (zh) 气隙结构和方法
CN107658289A (zh) 半导体器件及其制造方法
CN107665857A (zh) 用于形成具有笔直轮廓的通孔的多重图案化
CN107017175B (zh) 用于接合的多撞击工艺
US10199264B2 (en) Self aligned interconnect structures
CN106941092A (zh) 集成电路结构及其形成方法
TWI434373B (zh) 對三維半導體元件進行邊緣修整之方法,形成三維半導體元件之方法
US20240178170A1 (en) Conductive buffer layers for semiconductor die assemblies and associated systems and methods
CN104900543B (zh) 一种半导体器件及其制备方法
TW202147516A (zh) 半導體裝置的形成方法
CN107871670A (zh) 半导体器件及其制造方法
CN104795355B (zh) 硅通孔结构的制备方法
US20220302369A1 (en) Magnetoresistive random access memory structure and method of manufacturing the same
CN114079002A (zh) 半导体器件及其形成方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant