CN106711084B - 用于气隙形成的多阻挡件沉积 - Google Patents

用于气隙形成的多阻挡件沉积 Download PDF

Info

Publication number
CN106711084B
CN106711084B CN201610784931.4A CN201610784931A CN106711084B CN 106711084 B CN106711084 B CN 106711084B CN 201610784931 A CN201610784931 A CN 201610784931A CN 106711084 B CN106711084 B CN 106711084B
Authority
CN
China
Prior art keywords
etching stopping
stopping layer
layer
thickness
conducting wire
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201610784931.4A
Other languages
English (en)
Other versions
CN106711084A (zh
Inventor
林翔伟
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN106711084A publication Critical patent/CN106711084A/zh
Application granted granted Critical
Publication of CN106711084B publication Critical patent/CN106711084B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5222Capacitive arrangements or effects of, or between wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/1042Formation and after-treatment of dielectrics the dielectric comprising air gaps

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Geometry (AREA)

Abstract

本发明的实施例提供了一种方法,包括:在介电层中形成第一导线和第二导线,蚀刻部分介电层以在第一导线与第二导线之间形成沟槽,以及形成第一蚀刻停止层。第一蚀刻停止层延伸进入沟槽中。在第一蚀刻停止层上方形成第二蚀刻停止层。第二蚀刻停止层延伸进入沟槽中,并且第二蚀刻停止层比第一蚀刻停止层更加共形。将介电材料填充到沟槽中并且至第二蚀刻停止层上方。在介电材料中形成气隙。本发明的实施例还提供了用于气隙形成的多阻挡件沉积。

Description

用于气隙形成的多阻挡件沉积
技术领域
本发明的实施例涉及半导体领域,更具体地涉及用于气隙形成的多阻挡件沉积。
背景技术
集成电路器件(诸如晶体管)形成在半导体晶圆上。该器件通过金属线和通孔互连以形成功能电路,其中金属线和通孔在后端制程中形成。为了降低金属线和通孔的寄生电容,金属线和通孔形成在低k介电层中,其中低k介电层通常具有低于3.8、低于3或低于2.5的k值。
在金属线和通孔在低k介电层中的形成中,低k介电层首先被蚀刻以形成沟槽和通孔开口。低k介电层的蚀刻可涉及在低k介电材料上方形成图案化的硬掩模,以及将图案化的硬掩模用作蚀刻掩模以形成沟槽。也可形成通孔开口并且基本上与沟槽对齐。然后利用金属材料填充沟槽和通孔开口,金属材料可包括铜。然后实施化学机械抛光(CMP),以去除低k介电层上方的金属材料的多余部分。
发明内容
本发明的实施例提供了一种形成半导体结构的方法,包括:在介电层中形成第一导线和第二导线;蚀刻所述介电层的一部分以在所述第一导线与所述第二导线之间形成沟槽;形成第一蚀刻停止层,其中,所述第一蚀刻停止层延伸进入所述沟槽中,其中,所述第一蚀刻停止层包括具有第一厚度的第一竖直部分、以及具有第二厚度的第一水平部分;在所述第一蚀刻停止层上方形成第二蚀刻停止层,其中,所述第二蚀刻停止层延伸进入所述沟槽中,并且所述第二蚀刻停止层包括具有第三厚度的第二竖直部分、以及具有第四厚度的第二水平部分,并且所述第三厚度与所述第四厚度的第一比率大于所述第一厚度与所述第二厚度的第二比率;以及将介电材料填充到所述沟槽中并且至所述第二蚀刻停止层上方,其中,在所述介电材料中形成气隙。
本发明的实施例还提供了一种形成半导体结构的方法,包括:在第一导线、第二导线和介电层上方形成第一蚀刻停止层;蚀刻所述第一蚀刻停止层和并且蚀刻所述介电层的一部分以在所述第一导线与所述第二导线之间形成沟槽;形成第二蚀刻停止层,所述第二蚀刻停止层包括与所述第一蚀刻停止层的剩余部分重叠的第一部分、以及延伸进入所述沟槽中的第二部分,其中,所述第二蚀刻停止层具有在所述沟槽的一部分上方直接突出的悬置部分,其中,所述悬置部分直接位于所述沟槽的一部分上方;在所述第二蚀刻停止层上方形成第三蚀刻停止层,并且所述第三蚀刻停止层延伸进入所述沟槽中,其中,所述第三蚀刻停止层包括具有第一厚度的第一竖直部分、以及具有第二厚度的第一水平部分,其中,所述第一厚度与所述第二厚度的比率大于60%;以及将低k介电材料填充到所述沟槽中以形成气隙,其中,所述气隙的一部分位于所述沟槽中。
本发明的实施例还提供了一种集成电路结构,包括:介电层;位于所述介电层中的第一导线和第二导线;介于所述第一导线和所述第二导线之间的沟槽;第一蚀刻停止层,所述第一蚀刻停止层包括与所述第一导线重叠的具有第一厚度的第一部分、和延伸进入所述沟槽中的具有第二厚度的第二部分;位于所述第一蚀刻停止层上方的第二蚀刻停止层,并且所述第二蚀刻停止层包括与所述第一蚀刻停止层的所述第一部分重叠的具有第三厚度的第三部分、和延伸进入所述沟槽中的具有第四厚度的第四部分,其中,所述第四厚度与所述第三厚度的第一比率大于所述第二厚度与所述第一厚度的第二比率;延伸进入所述沟槽中并且覆盖所述第二蚀刻停止层的介电材料;以及位于所述介电材料中并且位于所述沟槽中的气隙。
附图说明
当结合附图进行阅读时,根据下面详细的描述可以最佳地理解本发明的实施例。应该强调的是,根据工业中的标准实践,各个部件未按比例绘制。实际上,为了清楚地讨论,各个部件的尺寸可以任意地增加或减少。
图1至图10示出了根据一些实施例的在具有气隙的互连结构的形成中的中间阶段的截面图;以及
图11示出了根据一些实施例的用于形成具有气隙的互连结构的工艺流程图。
具体实施方式
以下公开内容提供了许多不同实施例或实例,用于实现本发明的不同特征。以下描述组件和布置的具体实例以简化本发明。当然,这些仅仅是实例而不旨在限制。例如,在下面的描述中第一部件在第二部件上方或者在第二部件上的形成可以包括其中第一部件和第二部件以直接接触形成的实施例,并且也可以包括其中可以在第一部件和第二部件之间形成附加的部件,使得第一和第二部件可以不直接接触的实施例。而且,本发明在各个实例中可以重复参考数字和/或字母。该重复是出于简明和清楚的目的,而其本身并未指示所讨论的各个实施例和/或配置之间的关系。
而且,为便于描述,在此可以使用诸如“在...下面”、“在...下方”、“下部”、“在...上面”、“上部”等的空间相对术语,以便于描述如图所示的一个元件或部件与另一元件或部件的关系。除了图中所示的方位外,空间相对位置术语旨在包括器件在使用或操作中的不同方位。装置可以以其他方式定向(旋转90度或在其他方位上),并且本文使用的空间相对描述符可以同样地作相应的解释。
根据各个示例性实施例提供了具有气隙的互连结构及其形成方法。示出了形成气隙的中间阶段。讨论了一些实施例的变型。贯穿各个视图和示例性实施例,相同的参考标号用于指代相同的元件。
图1至图10示出了根据一些实施例的在集成电路的互连结构的形成中的中间阶段的截面图。在图1至图10中示出的步骤也在图11的工艺流程图200中示出。
图1示出了晶圆100,晶圆100包括半导体衬底20和形成在半导体衬底20上的部件。根据本发明的一些实施例,半导体衬底20包括晶体硅、晶体锗、硅锗、III-V族化合物半导体,诸如GaAsP、AlInAs、AlGaAs、GaInAs、GaInP、GaInAsP等。半导体衬底20还可以是块状硅衬底或绝缘体上硅(SOI)衬底。
根据本发明的一些实施例,晶圆100用于形成器件管芯。在这些实施例中,在半导体衬底20的顶面上形成集成电路器件22。示例性集成电路器件22可包括互补金属氧化物半导体(CMOS)晶体管、电阻器、电容器、二极管等。在此未示出集成电路器件22的细节。根据可选实施例,晶圆100用于形成中介层(interposer)。在这些实施例中,在衬底20上未形成诸如晶体管和二极管的有源器件。可以(或可以不)存在形成在晶圆100中的诸如电容器、电阻器、电感器等的无源器件。在晶圆100是中介层晶圆的实施例中,衬底20也可以是介电衬底。此外,可以形成穿过衬底20的贯通孔(未示出)以互连位于衬底20的相对侧上的组件。
层间电介质(ILD)24形成在半导体衬底20上方并且填充集成电路器件22中的晶体管(未示出)的栅极堆叠件之间的间隔。在一些示例性实施例中,ILD 24包括磷硅酸盐玻璃(PSG)、硼硅酸盐玻璃(BSG)、掺杂硼的磷硅酸盐玻璃(BPSG)、掺杂氟的硅酸盐玻璃(FSG)、正硅酸乙酯(TEOS)等。可使用旋涂、可流动化学汽相沉积(FCVD)等形成ILD 24。根据本发明的可选实施例,使用诸如等离子体增强的化学汽相沉积(PECVD)、低压化学汽相沉积(LPCVD)等的沉积方法形成ILD 24。
又如图1中所示,在ILD 24和集成电路器件22(如果有的话)上方形成蚀刻停止层26。蚀刻停止层26可由碳化硅、氮化硅、氮氧化硅、碳氮化硅等形成。蚀刻停止层26由相对于上面的介电层30具有高蚀刻选择性的材料形成,并且因此蚀刻停止层26可以用于停止介电层30的蚀刻。
接触插塞28形成在ILD 24中并且用于电连接至集成电路器件22。例如,接触插塞28可以包括栅极接触插塞和源极/漏极接触插塞,栅极接触插塞连接至集成电路器件22中的晶体管(未示出)的栅电极,源极/漏极接触插塞电连接至晶体管的源极/漏极区。根据本发明的一些实施例,接触插塞28由选自钨、铝、铜、钛、钽、氮化钛、氮化钽、它们的合金和/或它们的多层的材料形成。接触插塞28的形成可以包括:蚀刻ILD 24以形成接触开口,在接触开口内填充导电材料直到导电材料填充整个接触开口,以及实施平坦化(诸如化学机械抛光(CMP))以使接触插塞28的顶面和ILD 24的顶面齐平。
图1中还示出了介电层30,其在下文中可选地称为金属间介电(IMD)层30。根据本发明的一些实施例,IMD层30由介电常数(k值)低于约3.0、低于约2.5或甚至更低的低k介电材料形成。IMD层30可由Black Diamond(应用材料公司的注册商标)、含氧含碳低k介电材料、氢倍半硅氧烷(HSQ)、甲基倍半硅氧烷(MSQ)等形成。
在IMD 30中形成导线32。根据本发明的一些实施例,导线32包括扩散阻挡层34和位于扩散阻挡层34上方的含铜材料36。扩散阻挡层34可以包括钛、氮化钛、钽、氮化钽等,并且具有防止含铜材料36中的铜扩散到IMD 30内的功能。导线32在下文中称为金属线32。
根据本发明的一些实施例,在金属线32上方形成金属盖38。贯穿说明书,金属盖38也可被认为是金属线32的部分。根据一些实施例,金属盖38包括钴(Co)、CoWP、CoB、钨(W)、钽(Ta)、镍(Ni)、钼(Mo)、钛(Ti)、铁(Fe)或它们的合金。可以使用电化学镀(ECP)或化学镀选择性地形成金属盖38,在这期间将晶圆100浸入镀液中。根据可选实施例,金属盖38毯式形成在金属线32和IMD层30上,之后实施蚀刻工艺以去除不需要的部分。图1示出金属线32位于底部金属层中,底部金属层是直接位于接触插塞28上方的金属层。示出的金属线32也表示位于底部金属层上方的任何金属层中的金属线。
参考图2,形成蚀刻停止层40和IMD层42。根据本发明的一些实施例,蚀刻停止层40由碳化硅、氮化硅、氮氧化硅、碳氮化硅等形成。蚀刻停止层40可与IMD层30接触。IMD层42可由选自与用于形成IMD层30的候选材料相同的材料形成。例如,IMD层42可包括硅、氧、碳、氟等,并且可由含氧和/或含碳介电材料、Black Diamond(应用材料公司的注册商标)、HSQ、MSQ等形成。根据一些示例性实施例,IMD层42由SiCO形成。IMD层42也可以具有低k值,低k值可以低于约3.0、2.5或2.0。根据本发明的一些实施例,IMD层42的形成包括沉积含致孔剂的介电材料、以及然后实施固化工艺以驱除致孔剂。剩余的IMD层42变成多孔的。IMD层42的厚度可在约和约之间的范围中。
图2也示出了沟槽46和通孔开口44在IMD层42中的形成。根据本发明的一些实施例,该形成工艺包括使用光刻工艺以蚀刻IMD层42,从而形成初始通孔开口。该初始通孔开口从IMD层42的顶面延伸至介于IMD层42的顶面与底面之间的中间平面。接下来,形成并且图案化金属硬掩模(未示出)以限定沟槽46的图案。然后实施各向异性蚀刻以蚀刻IMD层42并且形成沟槽46。在形成沟槽46的同时,初始的通孔开口向下延伸至蚀刻停止层40,从而形成通孔开口44。根据可选实施例,在单独的光刻工艺中形成通孔开口44和沟槽46。例如,在第一光刻工艺中,形成向下至蚀刻停止层40的通孔开口44。在第二光刻工艺中,形成沟槽46。然后蚀刻蚀刻停止层40以暴露出下面的金属盖38。
根据本发明的一些实施例,使用包括氟和碳的工艺气体实施IMD层42的蚀刻,其中,氟用于蚀刻,碳具有保护产生的通孔开口44和沟槽46的侧壁的效果。在具有适当的氟碳比率的情况下,通孔开口44和沟槽46可以具有期望的轮廓。
图3示出了在通孔开口44(图2)中形成导电通孔48以及在沟槽46中形成导线50。相应的步骤在图11所示的工艺流程中表示为步骤202。通孔48和导线50可包括诸如扩散阻挡层、粘合层等的衬垫(未示出)。该衬垫可包括钛、氮化钛、钽、氮化钽或其他替代物。导线50的内部材料可为诸如铜、铜合金、钨、铝等的导电材料。根据本发明的一些实施例,通孔48和导线50的形成包括:实施毯式沉积以形成衬垫,沉积铜或铜合金的薄晶种层,以及例如使用电镀、化学镀、沉积等填充剩余的通孔开口44和沟槽46。实施化学机械平坦化(CMP)以使导线50的表面齐平,以及去除导电材料的多余部分。
参考图4,形成蚀刻停止层52。相应的步骤在图11所示的工艺流程中表示为步骤204。根据本发明的一些实施例,蚀刻停止层52由介电材料形成,该介电材料可包括第一元素,诸如Si和/或Al,以及从碳、氮、氧、氢、硼等中选择的第二元素。根据一些示例性实施例,蚀刻停止层52由碳化硅、氮化硅、氮氧化硅、碳氮化硅等形成。蚀刻停止层52可与导线50接触。蚀刻停止层52的厚度T1可以在介于约和约之间的范围内。
根据本发明的一些实施例,蚀刻停止层52为具有一致厚度的平坦层。可使用等离子体增强的化学汽相沉积(PECVD)形成蚀刻停止层52。在蚀刻停止层52的形成中,等离子体可在蚀刻停止层52被沉积的整个周期期间持续开启(turn on)。
参考图5,在蚀刻停止层52上方应用光刻胶54,并且在光刻工艺中图案化光刻胶54。光刻胶54中的开口与IMD层42的介于相邻导线50之间的部分竖直对齐。接下来,如图6中所示,将图案化的光刻胶54用作蚀刻掩模来对蚀刻停止层52和IMD层42进行蚀刻,并且因此形成沟槽56。相应的步骤在图11所示的工艺流程中表示为步骤206。根据本发明的一些实施例,代替使用光刻胶54,使用用于IMD层42的蚀刻的包括底层、中间层和上层(未示出)的三层。可使用时间模式实施蚀刻,并且当沟槽56的底部与导线50的底面基本齐平时停止蚀刻。可使用干蚀刻实来施蚀刻,其中,可将含氟气体用作蚀刻气体。然后去除剩余的光刻胶54。
如图6中所示,由于导线50的侧壁可能倾斜,所以导线50的侧壁上可能存在IMD层42的一些残留部分。根据可选实施例,所示出的IMD层42的残留部分不存在,并且导线50的侧壁暴露至沟槽56。
如图6中所示,在IMD层42的蚀刻中,导线50的角部由于蚀刻而被圆化。根据本发明的一些实施例,沟槽56的厚度W1介于约30nm和约50nm之间的范围内。应当理解,整个说明书中列举的值是实例,并且可以改变为不同的值。
图7示出了蚀刻停止层60的形成。相应的步骤在图11所示的工艺流程中表示为步骤208。根据本发明的一些实施例,蚀刻停止层60由介电材料形成,该介电材料可包括第一元素,诸如Si和/或Al,以及从碳、氮、氧、氢、硼等中选择的第二元素。根据一些示例性实施例,蚀刻停止层60由碳化硅、氮化硅、氮氧化硅、碳氮化硅、硼氮化硅等形成。蚀刻停止层60具有与蚀刻停止层52重叠的第一部分、与导线50的暴露部分接触的第二部分、以及位于沟槽56的底部和侧壁处的第三部分。
蚀刻停止层60是非共形层。例如,当在与其中一条导线50的相对侧壁之间的中间线对齐的位置处测量时,蚀刻停止层60的厚度是T2,T2是蚀刻停止层60的直接位于蚀刻停止层52上方的水平部分的厚度。当在与中间高度对齐的平面处测试时,其中中间高度是其中一条导线50的高度H1的一半,蚀刻停止层60的厚度是T3,T3是蚀刻停止层60的位于沟槽56中的侧壁部分的厚度。根据一些示例性实施例,非共形层60具有小于60%的厚度比率T3/T2。厚度比率T3/T2也可小于约40%。
根据一些示例性实施例,使用非共形沉积方法形成蚀刻停止层60。例如,可使用PECVD形成蚀刻停止层60,其中等离子体在蚀刻停止层60的沉积期间持续开启。或者说,在蚀刻停止层60的沉积期间,等离子体不具有开/关(on/off)循环,并且因此产生的蚀刻停止层60为非共形的。
还如图7中所示,由于非共形沉积,所以蚀刻停止层60具有悬置部分62,悬置部分62为超过下面的部分水平突出的部分。示出的悬置部分62可扩大。随着蚀刻停止层60的沉积的进行,悬置部分62在箭头64的方向上生长。根据本发明的一些实施例,悬置部分62的宽度W2大于约
图8示出了蚀刻停止层66的形成。相应的步骤在图11所示的工艺流程中表示为步骤210。根据本发明的一些实施例,蚀刻停止层66由介电材料形成,该介电材料可包括第一元素,诸如Si和/或Al,以及从碳、氮、氧、氢、硼等中选择的第二元素。根据一些示例性实施例,蚀刻停止层66由碳化硅、氮化硅、氮氧化硅、碳氮化硅、硼氮化硅等形成。蚀刻停止层66具有与蚀刻停止层60重叠的第一部分、延伸进入沟槽56中以覆盖沟槽56的底部和侧壁的第二部分。
蚀刻停止层66比蚀刻停止层60更加共形。此外,根据一些实施例,蚀刻停止层66为共形层。例如,当在与其中一条导线50的相对侧壁之间的中间线对齐的位置处测量时,蚀刻停止层66的厚度是T4,T4是蚀刻停止层66直接位于蚀刻停止层60上方的水平部分的厚度。当在与中间高度对齐的平面处测试时,其中中间高度是其中一条导线50的高度H1的一半,蚀刻停止层66的厚度是T5,T5是蚀刻停止层66的位于沟槽56中的侧壁部分的厚度。根据一些示例性实施例,共形层66具有大于60%的厚度比率T5/T4。厚度比率T5/T4也可大于70%或80%。根据一些实施例,差值(T5/T4-T3/T2)可大于约0.2。
根据一些示例性实施例,使用共形沉积方法形成蚀刻停止层66。例如,可使用PECVD形成蚀刻停止层66,其中等离子体在蚀刻停止层66的沉积期间重复地开启(turn on)和关闭(turn off)。贯穿说明书,术语“周期”用来指代等离子体完成开启-关闭周期所花费的时间。开启和关闭等离子体一次指一个工作循环(duty cycle),并且蚀刻停止层66的沉积可包括多个工作循环。与原子层沉积(ALD)不同,在PECVD的关闭阶段期间,用于形成蚀刻停止层66的前体未被净化。根据本发明的一些实施例,工作循环可包括在约10%和约50%之间的范围内的开启/周期比率,其为开启的持续时间与周期的持续时间的比率。例如,根据一些示例性实施例,在500Hz频率下的10%的开启/周期比率可意味着关闭等离子体约18ms,并且然后开启等离子体约2ms。
根据一些示例性实施例,蚀刻停止层60和66是原位形成的,并且蚀刻停止层60的形成可连续过渡至蚀刻停止层66的形成,而在其间没有真空破除器(vacuum break)。此外,可使用相同的前体以用于形成蚀刻停止层60和66,并且前体的流率可保持相同,除了用于形成非共形蚀刻停止层66的连续开启的等离子体可过渡至形成共形蚀刻停止层66的关/开循环。根据一些可选实施例,使用ALD形成蚀刻停止层66。
根据本发明的一些实施例,非共形蚀刻停止层60的形成也可被重复地开启和关闭。然而,用于形成非共形蚀刻停止层60的开启/周期比率高于用于形成共形蚀刻停止层66的开启/周期比率。因此,通过调节蚀刻停止层60和66的关/开比率,可调节蚀刻停止层60和66的共形性以产生期望效果。
贯穿说明书,当提及两层具有相同的组分时,意味着这两层具有相同类型的元素,并且在两层中元素的百分比彼此相同。相反地,当提及两层具有不同的组分时,意味着这两层中的其中一层或者具有至少一种在另一层中没有的元素,或者两层具有相同的元素,但是元素在两层中的百分比彼此不同。根据本发明的一些实施例,两个或全部三个蚀刻停止层52、60和66具有相同的组分或以任意组合方式的不同组分。无论蚀刻停止层52、60和66是否具有相同组分或不同组分,蚀刻停止层52、60和66可彼此区分,这是因为它们在不同的工艺步骤中形成。例如,当使用透射电子显微镜(TEM)或能量弥散X射线光谱仪(EDX)或X射线光电子光谱仪(XPS)分析时,层52、60和66的界面可被区分。
接下来,如图9所示,形成介电材料/层70和气隙72。相应的步骤在图11所示的工艺流程中表示为步骤212。介电层70可为低k介电材料,低k介电材料可选自与IMD层42的候选材料的组的相同的组。介电材料70和IMD层42也可由不同的介电材料形成。根据本发明的一些实施例,使用诸如PECVD的非共形沉积法方法沉积介电层70。在沉积期间,等离子体可在无关/开工作循环的情况下、或在具有低关/开比率的关/开工作循环的情况下保持开启。气隙72形成在导线50之间。气隙72的介电常数(k值)等于1.0,并且因此气隙72的形成帮助减小导线50之间的寄生电容。气隙72的顶部尖端73可低于蚀刻停止层66的水平部分的顶面并且高于导线50的顶面。
组合的非共形蚀刻停止层60和共形层66具有产生气隙72的有利特征,并且还使气隙的顶部尖端73保持尽可能低。例如,非共形蚀刻停止层60导致悬置部分62(图7),这引起或至少帮助气隙72的形成。然而,悬置部分62趋于在箭头64(图7)的方向上生长。如果未形成共形蚀刻停止层66,则低k介电层70(也使用非共形沉积方法形成)趋于在箭头64(图7)的方向上继续悬置部分62的生长。这意味着产生的气隙72的顶部尖端将处于非常高的位置。另一方面,非共形蚀刻停止层66在包括水平方向的所有方向上更加均匀地生长,并且因此在水平方向上的生长更快,从而导致更早地关闭气隙72。因此,气隙72的顶部尖端73的位置被有利地降低。
图10示出了导线78和通孔76在低k介电层70中的形成。相应的步骤在图11所示的工艺流程中表示为步骤214。金属线78和通孔76的形成可分别与导线50和通孔48的形成基本相同。应当理解,导线78和通孔76使用虚线示出以指示它们连接至导线50,但是它们未在气隙72形成的区域中。然而,它们被连接至导线50的不邻近气隙的部分。因此,导线78和通孔76未在如所示的平面内,并且使用虚线示出。在接下来的步骤中,重复在图4至图9中示出的步骤,以在相邻的导线78之间形成气隙。工艺和材料的细节此处不再重复。
应当理解,也可使用图4至图9中示出的工艺步骤以在导线32之间形成气隙。在对应的工艺中,在形成图1中示出的结构之后,跳过图2和图3中示出的步骤,并且实施图4至图9中示出的步骤。通过蚀刻低k介电层30形成的沟槽(对应于图6中的沟槽56)可停止在蚀刻停止层30的顶面上。剩余的工艺和结构可通过在图4至图9中示出的实施例的教导来实现。
本发明的实施例具有一些有利的特征。通过形成非共形蚀刻停止层和共形停止层这两者,形成气隙,并且气隙的顶部尖端保持低。模拟结果表明,高顶部尖端遭受高应力,并且顶部尖端变成产生结构的薄弱点。因此,通过降低气隙的顶部尖端,提高了产生结构的可靠性。
根据本发明的一些实施例,一种方法包括在介电层中形成第一导线和第二导线,蚀刻部分介电层以在第一导线与第二导线之间形成沟槽,以及形成第一蚀刻停止层。第一蚀刻停止层延伸进入沟槽中。在第一蚀刻停止层上方形成第二蚀刻停止层。第二蚀刻停止层延伸进入沟槽中,并且第二蚀刻停止层比第一蚀刻停止层更加共形。将介电材料填充到沟槽中并且至第二蚀刻停止层上方。在介电材料中形成气隙。
根据本发明的一些实施例,一种方法包括在第一导线、第二导线和介电层上方形成第一蚀刻停止层,蚀刻第一蚀刻停止层和部分的介电层以在第一导线与第二导线之间形成沟槽,并且形成第二蚀刻停止层,该第二蚀刻停止层包括与第一蚀刻停止层的剩余部分重叠的第一部分、延伸进入沟槽中的第二部分。第二蚀刻停止层具有在沟槽的一部分上方直接突出的悬置部分,其中悬置部分直接位于沟槽的一部分上方。第三蚀刻停止层形成在第二蚀刻停止上方并且延伸进入沟槽中,其中第三蚀刻停止层是共形层。将低k介电材料填充到沟槽中以形成气隙,其中气隙的一部分位于沟槽中。
根据本发明的一些实施例,集成电路结构包括介电层、介电层中的第一导线和第二导线、以及第一导线与第二导线之间的沟槽。集成电路结构还包括第一蚀刻停止层,第一蚀刻停止层具有与第一导线重叠的第一部分、延伸进入沟槽中的第二部分。第二蚀刻停止层位于第一蚀刻停止层上方,并且包括与第一蚀刻停止层的第一部分重叠的第三部分、以及延伸进入沟槽中的第四部分。第二蚀刻停止层比第一蚀刻停止层更加共形。介电材料延伸进入沟槽中并且覆盖第二蚀刻停止层。气隙位于介电材料中并且位于沟槽中。
本发明的实施例提供了一种形成半导体结构的方法,包括:在介电层中形成第一导线和第二导线;蚀刻所述介电层的一部分以在所述第一导线与所述第二导线之间形成沟槽;形成第一蚀刻停止层,其中,所述第一蚀刻停止层延伸进入所述沟槽中,其中,所述第一蚀刻停止层包括具有第一厚度的第一竖直部分、以及具有第二厚度的第一水平部分;在所述第一蚀刻停止层上方形成第二蚀刻停止层,其中,所述第二蚀刻停止层延伸进入所述沟槽中,并且所述第二蚀刻停止层包括具有第三厚度的第二竖直部分、以及具有第四厚度的第二水平部分,并且所述第三厚度与所述第四厚度的第一比率大于所述第一厚度与所述第二厚度的第二比率;以及将介电材料填充到所述沟槽中并且至所述第二蚀刻停止层上方,其中,在所述介电材料中形成气隙。
根据本发明的一个实施例,其中,所述形成所述第二蚀刻停止层包括多个关/开循环,其中,在所述形成所述第二蚀刻停止层期间开启和关闭等离子体。
根据本发明的一个实施例,其中,在所述形成所述第一蚀刻停止层期间,等离子体持续开启,而无关/开循环。
根据本发明的一个实施例,其中,使用等离子体增强的化学汽相沉积(PECVD)形成所述第一蚀刻停止层和所述第二蚀刻停止层两者。
根据本发明的一个实施例,方法还包括:在所述第一蚀刻停止层的水平部分下面形成第三蚀刻停止层,其中,所述第三蚀刻停止层与所述第一导线和所述第二导线重叠。
根据本发明的一个实施例,其中,所述第三蚀刻停止层作为毯式层形成在所述第一导线和所述第二导线以及所述介电层上方,并且其中,所述毯式层与所述介电层一起被蚀刻以形成所述沟槽。
根据本发明的一个实施例,其中,所述第一蚀刻停止层和所述第二蚀刻停止层包括相同元素。
根据本发明的一个实施例,其中,所述第一蚀刻停止层和所述第二蚀刻停止层包括相同组分。
本发明的实施例还提供了一种形成半导体结构的方法,包括:在第一导线、第二导线和介电层上方形成第一蚀刻停止层;蚀刻所述第一蚀刻停止层和并且蚀刻所述介电层的一部分以在所述第一导线与所述第二导线之间形成沟槽;形成第二蚀刻停止层,所述第二蚀刻停止层包括与所述第一蚀刻停止层的剩余部分重叠的第一部分、以及延伸进入所述沟槽中的第二部分,其中,所述第二蚀刻停止层具有在所述沟槽的一部分上方直接突出的悬置部分,其中,所述悬置部分直接位于所述沟槽的一部分上方;在所述第二蚀刻停止层上方形成第三蚀刻停止层,并且所述第三蚀刻停止层延伸进入所述沟槽中,其中,所述第三蚀刻停止层包括具有第一厚度的第一竖直部分、以及具有第二厚度的第一水平部分,其中,所述第一厚度与所述第二厚度的比率大于60%;以及将低k介电材料填充到所述沟槽中以形成气隙,其中,所述气隙的一部分位于所述沟槽中。
根据本发明的一个实施例,其中,所述第二蚀刻停止层和所述第三蚀刻停止层由相同的介电材料形成,并且所述形成所述第三蚀刻停止层包括多个关/开循环,其中,在所述形成所述第三蚀刻停止层期间开启和关闭等离子体,并且在所述形成所述第二蚀刻停止层期间,等离子体持续开启,而无关/开循环。
根据本发明的一个实施例,其中,在所述形成所述第二蚀刻停止层和所述形成所述第三蚀刻停止层中,使用相同的前体。
根据本发明的一个实施例,其中,使用非共形沉积方法形成所述第二蚀刻停止层。
根据本发明的一个实施例,其中,所述气隙的顶部尖端低于所述第三蚀刻停止层的水平部分的顶面、并且高于所述第一导线的顶面。
根据本发明的一个实施例,其中,使用非共形沉积方法实施所述填充所述低k介电材料。
本发明的实施例还提供了一种集成电路结构,包括:介电层;位于所述介电层中的第一导线和第二导线;介于所述第一导线和所述第二导线之间的沟槽;第一蚀刻停止层,所述第一蚀刻停止层包括与所述第一导线重叠的具有第一厚度的第一部分、和延伸进入所述沟槽中的具有第二厚度的第二部分;位于所述第一蚀刻停止层上方的第二蚀刻停止层,并且所述第二蚀刻停止层包括与所述第一蚀刻停止层的所述第一部分重叠的具有第三厚度的第三部分、和延伸进入所述沟槽中的具有第四厚度的第四部分,其中,所述第四厚度与所述第三厚度的第一比率大于所述第二厚度与所述第一厚度的第二比率;延伸进入所述沟槽中并且覆盖所述第二蚀刻停止层的介电材料;以及位于所述介电材料中并且位于所述沟槽中的气隙。
根据本发明的一个实施例,其中,所述第一蚀刻停止层和所述第二蚀刻停止层中的每一个都具有在与所述第一导线的相对边缘之间的中间线对齐的位置处测量的第一厚度、和在所述第一导线的中间高度处测量的第二厚度,并且所述第一蚀刻停止层的所述第二厚度与所述第一厚度的比率小于60%,并且所述第二蚀刻停止层的所述第二厚度与所述第一厚度的比率大于60%。
根据本发明的一个实施例,其中,所述第一蚀刻停止层包括悬置部分,其中,所述第二蚀刻停止层位于所述悬置部分的所有表面上。
根据本发明的一个实施例,其中,所述第一蚀刻停止层和所述第二蚀刻停止层由相同的介电材料形成。
根据本发明的一个实施例,集成电路结构还包括介于所述第一蚀刻停止层的所述第一部分与所述第一导线之间的第三蚀刻停止层。
根据本发明的一个实施例,其中,所述第三蚀刻停止层由与所述第一蚀刻停止层相同的介电材料形成。
以上论述了若干实施例的特征,使得本领域技术人员可以更好地理解本发明的各方面。本领域技术人员应该理解,他们可以容易地使用本发明作为基础来设计或修改用于实施与本文所介绍的实施例相同的目的和/或实现相同优点的其他工艺和结构。本领域技术人员也应该意识到,这种等同构造并不背离本发明的精神和范围,并且在不背离本发明的精神和范围的情况下,本文中他们可以做出多种变化、替代以及改变。

Claims (19)

1.一种形成半导体结构的方法,包括:
在介电层中形成第一导线和第二导线;
蚀刻所述介电层的一部分以在所述第一导线与所述第二导线之间形成沟槽;
形成第一蚀刻停止层,其中,所述第一蚀刻停止层延伸进入所述沟槽中,其中,所述第一蚀刻停止层包括具有第一厚度的第一竖直部分、以及具有第二厚度的第一水平部分;
在所述第一蚀刻停止层上方形成第二蚀刻停止层,其中,所述第二蚀刻停止层延伸进入所述沟槽中,并且所述第二蚀刻停止层包括具有第三厚度的第二竖直部分、以及具有第四厚度的第二水平部分,并且所述第三厚度与所述第四厚度的第一比率大于所述第一厚度与所述第二厚度的第二比率;以及
将介电材料填充到所述沟槽中并且至所述第二蚀刻停止层上方,其中,在所述介电材料中形成气隙。
2.根据权利要求1所述的方法,其中,所述形成所述第二蚀刻停止层包括多个关/开循环,其中,在所述形成所述第二蚀刻停止层期间开启和关闭等离子体。
3.根据权利要求2所述的方法,其中,在所述形成所述第一蚀刻停止层期间,等离子体持续开启,而无关/开循环。
4.根据权利要求1所述的方法,其中,使用等离子体增强的化学汽相沉积(PECVD)形成所述第一蚀刻停止层和所述第二蚀刻停止层两者。
5.根据权利要求1所述的方法,还包括:
在所述第一蚀刻停止层的水平部分下面形成第三蚀刻停止层,其中,所述第三蚀刻停止层与所述第一导线和所述第二导线重叠。
6.根据权利要求5所述的方法,其中,所述第三蚀刻停止层作为毯式层形成在所述第一导线和所述第二导线以及所述介电层上方,并且其中,所述毯式层与所述介电层一起被蚀刻以形成所述沟槽。
7.根据权利要求1所述的方法,其中,所述第一蚀刻停止层和所述第二蚀刻停止层包括相同元素。
8.根据权利要求7所述的方法,其中,所述第一蚀刻停止层和所述第二蚀刻停止层包括相同组分。
9.一种形成半导体结构的方法,包括:
在第一导线、第二导线和介电层上方形成第一蚀刻停止层;
蚀刻所述第一蚀刻停止层和并且蚀刻所述介电层的一部分以在所述第一导线与所述第二导线之间形成沟槽;
形成第二蚀刻停止层,所述第二蚀刻停止层包括与所述第一蚀刻停止层的剩余部分重叠的第一部分、以及延伸进入所述沟槽中的第二部分,其中,所述第二蚀刻停止层具有在所述沟槽的一部分上方直接突出的悬置部分,其中,所述悬置部分直接位于所述沟槽的一部分上方;
在所述第二蚀刻停止层上方形成第三蚀刻停止层,并且所述第三蚀刻停止层延伸进入所述沟槽中,其中,所述第三蚀刻停止层包括具有第一厚度的第一竖直部分、以及具有第二厚度的第一水平部分,其中,所述第一厚度与所述第二厚度的比率大于60%;以及
将低k介电材料填充到所述沟槽中以形成气隙,其中,所述气隙的一部分位于所述沟槽中,
其中,所述第二蚀刻停止层和所述第三蚀刻停止层由相同的介电材料形成,并且所述形成所述第三蚀刻停止层包括多个关/开循环,其中,在所述形成所述第三蚀刻停止层期间开启和关闭等离子体,并且在所述形成所述第二蚀刻停止层期间,等离子体持续开启,而无关/开循环。
10.根据权利要求9所述的方法,其中,在所述形成所述第二蚀刻停止层和所述形成所述第三蚀刻停止层中,使用相同的前体。
11.根据权利要求9所述的方法,其中,使用非共形沉积方法形成所述第二蚀刻停止层。
12.根据权利要求9所述的方法,其中,所述气隙的顶部尖端低于所述第三蚀刻停止层的水平部分的顶面、并且高于所述第一导线的顶面。
13.根据权利要求9所述的方法,其中,使用非共形沉积方法实施所述填充所述低k介电材料。
14.一种集成电路结构,包括:
介电层;
位于所述介电层中的第一导线和第二导线;
介于所述第一导线和所述第二导线之间的沟槽;
第一蚀刻停止层,所述第一蚀刻停止层包括与所述第一导线重叠的具有第一厚度的第一部分、和延伸进入所述沟槽中的具有第二厚度的第二部分;
位于所述第一蚀刻停止层上方的第二蚀刻停止层,并且所述第二蚀刻停止层包括与所述第一蚀刻停止层的所述第一部分重叠的具有第三厚度的第三部分、和延伸进入所述沟槽中的具有第四厚度的第四部分,其中,所述第四厚度与所述第三厚度的第一比率大于所述第二厚度与所述第一厚度的第二比率;
延伸进入所述沟槽中并且覆盖所述第二蚀刻停止层的介电材料;以及
位于所述介电材料中并且位于所述沟槽中的气隙。
15.根据权利要求14所述的集成电路结构,其中,所述第一蚀刻停止层和所述第二蚀刻停止层分别具有在与所述第一导线的相对边缘之间的中间线对齐的位置处测量的所述第一厚度和所述第三厚度、和在所述第一导线的中间高度处测量的所述第二厚度和所述第四厚度,并且所述第一蚀刻停止层的所述第二厚度与所述第一厚度的比率小于60%,并且所述第二蚀刻停止层的所述第四厚度与所述第三厚度的比率大于60%。
16.根据权利要求14所述的集成电路结构,其中,所述第一蚀刻停止层包括悬置部分,其中,所述第二蚀刻停止层位于所述悬置部分的所有表面上。
17.根据权利要求14所述的集成电路结构,其中,所述第一蚀刻停止层和所述第二蚀刻停止层由相同的介电材料形成。
18.根据权利要求14所述的集成电路结构,还包括介于所述第一蚀刻停止层的所述第一部分与所述第一导线之间的第三蚀刻停止层。
19.根据权利要求18所述的集成电路结构,其中,所述第三蚀刻停止层由与所述第一蚀刻停止层相同的介电材料形成。
CN201610784931.4A 2015-11-16 2016-08-31 用于气隙形成的多阻挡件沉积 Active CN106711084B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/942,615 US9728447B2 (en) 2015-11-16 2015-11-16 Multi-barrier deposition for air gap formation
US14/942,615 2015-11-16

Publications (2)

Publication Number Publication Date
CN106711084A CN106711084A (zh) 2017-05-24
CN106711084B true CN106711084B (zh) 2019-08-16

Family

ID=58690300

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201610784931.4A Active CN106711084B (zh) 2015-11-16 2016-08-31 用于气隙形成的多阻挡件沉积

Country Status (3)

Country Link
US (4) US9728447B2 (zh)
CN (1) CN106711084B (zh)
TW (1) TWI618190B (zh)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9728447B2 (en) * 2015-11-16 2017-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-barrier deposition for air gap formation
KR102460075B1 (ko) 2016-01-27 2022-10-31 삼성전자주식회사 반도체 장치 및 반도체 장치의 제조 방법
US9831174B1 (en) * 2016-05-31 2017-11-28 Globalfoundries Inc. Devices and methods of forming low resistivity noble metal interconnect
US10090381B2 (en) * 2016-09-20 2018-10-02 Samsung Electronics Co., Ltd. Semiconductor device including air-gap
WO2018125098A1 (en) * 2016-12-28 2018-07-05 Intel Corporation Pitch quartered three-dimensional air gaps
CN109545735B (zh) * 2017-09-22 2022-01-28 蓝枪半导体有限责任公司 金属内连线结构及其制作方法
US11251077B2 (en) 2018-04-09 2022-02-15 Tokyo Electron Limited Method of forming a semiconductor device with air gaps for low capacitance interconnects
US11315829B2 (en) * 2019-08-26 2022-04-26 Taiwan Semiconductor Manufacturing Co., Ltd. Amorphous layers for reducing copper diffusion and method forming same
US11127678B2 (en) * 2019-12-10 2021-09-21 Globalfoundries U.S. Inc. Dual dielectric layer for closing seam in air gap structure
CN117393536A (zh) * 2020-04-27 2024-01-12 联华电子股份有限公司 半导体元件及其制造方法
US11508665B2 (en) * 2020-06-23 2022-11-22 Taiwan Semiconductor Manufacturing Co., Ltd. Packages with thick RDLs and thin RDLs stacked alternatingly
CN113611655A (zh) 2021-06-11 2021-11-05 联芯集成电路制造(厦门)有限公司 半导体结构及其制作方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1791974A (zh) * 2003-05-21 2006-06-21 桑迪士克股份有限公司 半导体结构中元件间的空隙作为隔离的用途
CN101431046A (zh) * 2007-10-09 2009-05-13 应用材料股份有限公司 在用于减少rc延迟的介电层中产生气隙的方法和装置

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5759913A (en) * 1996-06-05 1998-06-02 Advanced Micro Devices, Inc. Method of formation of an air gap within a semiconductor dielectric by solvent desorption
KR100286126B1 (ko) * 1999-02-13 2001-03-15 윤종용 다층의 패시배이션막을 이용한 도전층 사이에 공기 공간을 형성하는 방법
DE102004050391B4 (de) * 2004-10-15 2007-02-08 Infineon Technologies Ag Verfahren zum Herstellen einer Schicht-Anordnung und Schicht-Anordnung
KR100880310B1 (ko) * 2006-09-06 2009-01-28 주식회사 하이닉스반도체 반도체 소자의 제조방법
JP2008109043A (ja) * 2006-10-27 2008-05-08 Oki Electric Ind Co Ltd 半導体装置の製造方法および半導体装置
US20080265377A1 (en) * 2007-04-30 2008-10-30 International Business Machines Corporation Air gap with selective pinchoff using an anti-nucleation layer
KR101010798B1 (ko) * 2007-07-18 2011-01-25 주식회사 하이닉스반도체 플래시 메모리 소자의 제조 방법
US8058138B2 (en) * 2008-07-17 2011-11-15 Micron Technology, Inc. Gap processing
US7928003B2 (en) * 2008-10-10 2011-04-19 Applied Materials, Inc. Air gap interconnects using carbon-based films
JP5396065B2 (ja) * 2008-10-28 2014-01-22 株式会社日立製作所 半導体装置の製造方法
DE102008059650B4 (de) * 2008-11-28 2018-06-21 Globalfoundries Inc. Verfahren zur Herstellung einer Mikrostruktur mit einer Metallisierungsstruktur mit selbstjustierten Luftspalten zwischen dichtliegenden Metallleitungen
US8120179B2 (en) * 2009-11-10 2012-02-21 International Business Machines Corporation Air gap interconnect structures and methods for forming the same
US8501578B2 (en) * 2010-01-22 2013-08-06 Estivation Properties Llc Semiconductor structure formed without requiring thermal oxidation
US20110266676A1 (en) * 2010-05-03 2011-11-03 Toshiba America Electronic Components, Inc. Method for forming interconnection line and semiconductor structure
KR20170124621A (ko) * 2011-12-20 2017-11-10 인텔 코포레이션 등각 저온 밀봉 유전체 확산 장벽들
US9385037B2 (en) * 2014-04-16 2016-07-05 Taiwan Semiconductor Manufacturing Company Limited Semiconductor arrangement comprising metal cap and dielectric layer defining air gap
US9754945B2 (en) * 2014-08-06 2017-09-05 Globalfoundries Inc. Non-volatile memory device employing a deep trench capacitor
US9496169B2 (en) * 2015-02-12 2016-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming an interconnect structure having an air gap and structure thereof
US9607882B2 (en) * 2015-08-31 2017-03-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9728447B2 (en) * 2015-11-16 2017-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-barrier deposition for air gap formation
KR102616823B1 (ko) * 2015-12-16 2023-12-22 삼성전자주식회사 반도체 장치

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1791974A (zh) * 2003-05-21 2006-06-21 桑迪士克股份有限公司 半导体结构中元件间的空隙作为隔离的用途
CN101431046A (zh) * 2007-10-09 2009-05-13 应用材料股份有限公司 在用于减少rc延迟的介电层中产生气隙的方法和装置

Also Published As

Publication number Publication date
US10483161B2 (en) 2019-11-19
TWI618190B (zh) 2018-03-11
US20190139812A1 (en) 2019-05-09
TW201731021A (zh) 2017-09-01
US10157779B2 (en) 2018-12-18
US20200020568A1 (en) 2020-01-16
US20170358481A1 (en) 2017-12-14
CN106711084A (zh) 2017-05-24
US9728447B2 (en) 2017-08-08
US11011414B2 (en) 2021-05-18
US20170140979A1 (en) 2017-05-18

Similar Documents

Publication Publication Date Title
CN106711084B (zh) 用于气隙形成的多阻挡件沉积
US11569124B2 (en) Interconnect structure having an etch stop layer over conductive lines
TWI748236B (zh) 製造記憶體元件的方法以及積體電路
US7193327B2 (en) Barrier structure for semiconductor devices
KR101757520B1 (ko) 집적회로 구조물 및 그 제조방법
US11462458B2 (en) Semiconductor device and method of manufacture
US9543198B2 (en) Structure and method for forming interconnect structure
CN107039380A (zh) 接合结构及其形成方法
CN104934369B (zh) 形成堆叠沟槽接触的方法及由此形成的结构
US9214429B2 (en) Trench interconnect having reduced fringe capacitance
US9484302B2 (en) Semiconductor devices and methods of manufacture thereof
US20150162277A1 (en) Advanced interconnect with air gap
KR20020068746A (ko) 콘택 플러그를 구비하는 반도체 소자 및 그의 제조 방법
US8247905B2 (en) Formation of vertical devices by electroplating
TWI610399B (zh) 積體電路結構及其製造方法
JP7027432B2 (ja) 相互接続構造及びその形成方法
CN105489590B (zh) 嵌入式金属-绝缘体-金属电容器
US20150371939A1 (en) Combination Interconnect Structure and Methods of Forming Same
CN107026115A (zh) 至部分填充的沟槽的通孔互连件
US20050266679A1 (en) Barrier structure for semiconductor devices
KR20150067748A (ko) 견고한 금속화 프로파일을 위한 이중층 하드 마스크
CN105990216A (zh) 互连结构的形成方法
TWI721894B (zh) 積體電路裝置與其製造方法
CN110931423A (zh) 半导体互连结构及其制备方法
CN103839877B (zh) 半导体结构及其形成方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant