CN106486412A - 深沟槽隔离及其形成方法 - Google Patents

深沟槽隔离及其形成方法 Download PDF

Info

Publication number
CN106486412A
CN106486412A CN201610769404.6A CN201610769404A CN106486412A CN 106486412 A CN106486412 A CN 106486412A CN 201610769404 A CN201610769404 A CN 201610769404A CN 106486412 A CN106486412 A CN 106486412A
Authority
CN
China
Prior art keywords
groove
semiconductor substrate
removal step
layer
trench isolation
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201610769404.6A
Other languages
English (en)
Other versions
CN106486412B (zh
Inventor
周正贤
曾晓晖
赖志育
周世培
江彦廷
蔡敏瑛
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN106486412A publication Critical patent/CN106486412A/zh
Application granted granted Critical
Publication of CN106486412B publication Critical patent/CN106486412B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14683Processes or apparatus peculiar to the manufacture or treatment of these devices or parts thereof
    • H01L27/14687Wafer level processing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • H01L21/76229Concurrent filling of a plurality of trenches having a different trench shape or dimension, e.g. rectangular and V-shaped trenches, wide and narrow trenches, shallow and deep trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/1463Pixel isolation structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Electromagnetism (AREA)
  • Ceramic Engineering (AREA)
  • Element Separation (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)

Abstract

本发明涉及一种方法,包括对半导体衬底实施各向异性蚀刻以形成沟槽。该沟槽具有垂直侧壁和连接至垂直侧壁的圆形的底部。实施损坏去除步骤以去除半导体衬底的表面层,表面层暴露于沟槽。蚀刻沟槽的圆形的底部以形成斜直底面。填充沟槽以形成在沟槽中的沟槽隔离区域。本发明实施例涉及深沟槽隔离及其形成方法。

Description

深沟槽隔离及其形成方法
技术领域
本发明实施例涉及深沟槽隔离及其形成方法。
背景技术
包括前侧照明(FSI)图像传感器芯片和背侧照明(BSI)图像传感器芯片的图像传感器芯片被广泛应用于诸如照相机的应用中。在图像传感器的形成中,图像传感器(诸如光电二极管)和逻辑电路形成在晶圆的硅衬底上,其后是在晶圆的前侧上形成互连结构。在FSI图像传感器芯片中,滤色器和微透镜形成在互连结构上方。在BSI图像传感器芯片的形成中,在互连结构的形成之后,减薄晶圆,并且在各自晶圆的背侧形成诸如滤色器和微透镜的背侧结构。当使用图像传感器芯片时,将光投射到图像传感器上,在图像传感器中,光被转变成电信号。
在图像传感器芯片中,深沟槽形成在硅衬底中以将图像传感器彼此分离。用介电材料填充深沟槽,以将邻近器件彼此隔离,介电材料可以包括氧化物。
在图像传感器芯片中的图像传感器响应于光子的刺激产生电信号。然而,由一个微透镜和下面的滤色器接收的光可以是倾斜的。倾斜的光可以穿透用于分离图像传感器的深沟槽。结果,由于不期望地从邻近像素接收的光的干扰发生串扰。
发明内容
根据本发明的一个实施例,提供了一种方法,包括:对半导体衬底实施各向异性蚀刻以形成沟槽,其中,所述沟槽包括垂直侧壁和连接至所述垂直侧壁的圆形的底部;实施损坏去除步骤以去除所述半导体衬底的表面层,所述表面层暴露于所述沟槽,其中,蚀刻所述沟槽的所述圆形的底部以形成斜直底面;以及填充所述沟槽以在所述沟槽中形成沟槽隔离区域。
根据本发明的另一实施例,还提供了一种方法,包括:蚀刻半导体衬底以形成沟槽;对所述半导体衬底和所述沟槽实施清洗;在所述清洗之后,实施损坏去除步骤以去除所述半导体衬底的表面层,所述表面层位于所述沟槽中;以及在所述损坏去除步骤之后,填充所述沟槽以在所述沟槽中形成沟槽隔离区域。
根据本发明的又一实施例,还提供了一种集成电路结构,包括:半导体衬底,具有主要表面;以及沟槽隔离区域,从所述主要表面延伸至所述半导体衬底内,其中,所述沟槽隔离区域包括:垂直侧壁表面,从所述主要表面延伸至所述半导体衬底内,其中,所述垂直侧壁表面基本上垂直于所述主要表面;以及斜直底面,连接至所述垂直侧壁表面。
附图说明
当结合附图进行阅读时,从以下详细描述可最佳地理解本发明的各个方面。应该注意,根据工业中的标准实践,各个部件未按比例绘制。实际上,为了清楚的讨论,各种部件的尺寸可以被任意增大或减小。
图1至图9B示出了根据一些实施例的在深沟槽隔离区域的形成中的中间阶段的截面图。
图9C示出了根据一些实施例的深沟槽区域的顶视图。
图10至图12示出了根据一些实施例的深沟槽隔离区域的截面图。
图13至图14示出了前侧照明(FSI)图像传感器的部分和背侧照明(BSI)图像传感器的部分。
图15示出了在样品硅晶圆上实施的实验结果,其中,暗电流和白像素的数量示出为损坏去除步骤的持续时间的函数。
图16示出了根据一些实施例的用于形成深沟槽区域的工艺流图。
具体实施方式
以下公开内容提供了许多用于实现本发明的不同特征的不同实施例或实例。以下描述部件和布置的具体实例以简化本发明。当然,这些仅仅是实例而不旨在限制。例如,在以下描述中,在第二部件上方或上形成第一部件可以包括第一部件和第二部件直接接触而形成的实施例,并且也可以包括形成在第一部件和第二部件之间的附加部件使得第一部件和第二部件可以不直接接触的实施例。此外,本发明可以在各个实例中重复参考标号和/或字符。该重复是出于简明和清楚的目的,而其本身并未指示所讨论的各个实施例和/或配置之间的关系。
而且,为了便于描述,在此可以使用诸如“在...下面”、“在...下方”、“下部”、“在...上面”、“上部”等空间相对术语以描述如图所示的一个元件或部件与另一个(或另一些)元件或部件的关系。除了图中所示的方位外,空间相对位置术语旨在包括器件在使用或操作中的不同方位。装置可以以其他方式定向(旋转90度或在其他方位上),并且本文使用的空间相对描述符可以同样地作相应的解释。
根据各个示例性实施例提供了深沟槽隔离(DTI)区域及其形成方法。示出了形成DTI区域的中间阶段。讨论了实施例的一些变型。贯穿各个视图和示例性实施例,相同的参考标号用于指代相同的元件。
图1至图9B示出了根据一些实施例的在DTI区域的形成中的中间阶段的截面图。根据一些实施例,DTI区域可以用于图像传感器芯片(诸如前侧照片(FST)图像传感器芯片和背侧照明(BSI)图像传感器芯片)。图1至图9B所示的步骤也在图16所示的工艺流程300中图示性地示出。在随后的讨论中,参照图16中的工艺步骤讨论图1至图9B所述的工艺步骤。
参考图3,提供了半导体衬底20。例如,衬底20可以包括掺杂或未掺杂的块状硅、或可以包括绝缘体上半导体(SOI)衬底的有源层。通常,SOI衬底包括形成在绝缘体层上的半导体材料(诸如硅)的层。例如,绝缘体层可以是埋氧(BOX)层或氧化硅层。在诸如硅或玻璃衬底的衬底上提供绝缘体层。可选地,衬底20可包括诸如锗的另一个元素半导体;包括碳化硅、砷化镓、磷化镓、磷化铟、砷化铟和/或锑化铟的化合物半导体;包括SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP和/或GaInAsP的合金半导体;或它们的组合。也可以使用其他衬底,诸如多层或梯度衬底。
根据一些实施例,垫层22和掩模层24形成在半导体衬底20上。垫层22可以是使用热氧化工艺或化学汽相沉积(CVD)工艺形成的包括例如氧化硅的薄膜。垫氧化物层22的厚度可以在约至约之间。然而,应该理解,说明书全文列举的数值仅仅作为实例,并且其可以改变为不同的数值。垫层22还可以作为蚀刻掩模层24的蚀刻停止层。根据一些实施例,例如使用低压化学汽相沉积(LPCVD)由氮化硅形成掩模层24。根据其他实施例,使用硅的热氮化、等离子体增强化学汽相沉积(PECVD)等形成掩模层24。掩模层24的厚度可以在约至约之间。掩模层24可以在随后的光刻工艺期间用作硬掩模。掩模层24还可以作为为底部抗反射涂层。光刻胶26形成在掩模层24上,并且然后图案化光刻胶26。
接着,参考图2,光刻胶26用作蚀刻下面的层的蚀刻掩模。因此,沟槽28形成在硬掩模24、垫层22中,并且延伸至半导体衬底20内。相应的步骤在图16所示的工艺流程中被表示为步骤302。沟槽28从主要表面20A延伸至半导体衬底20内。沟槽28的底部在半导体衬底20的主要表面20A和主要表面20B之间的中间层面处。根据一些实施例,主要表面20A是前表面,在前表面处,形成诸如晶体管的有源器件,如图13所示。根据可选的实施例,主要表面20A是与前表面相对的背表面,如图14所示。
通过各向异性蚀刻实施蚀刻,使得沟槽28的侧壁为直和垂直的,其中侧壁垂直于主要表面20A和20B。此外,可以有工艺变化,造成沟槽28稍微成锥形,并且因此沟槽28的侧壁基本垂直于(具有轻微倾斜)主表面20A和20B,例如,具有大于约88度并且在约88度和约90度之间的倾斜角α。根据一些示例性实施例,通过包括,但不限制于,电感耦合等离子体(ICP)、变压器耦合等离子体(TCP)、电子回旋共振(ECR)、反应离子蚀刻(RIE)等的干蚀刻方法实施蚀刻。工艺气体包括,例如,含氟气体(诸如,SF6、CF4、CHF3、NF3)、含氯气体(诸如Cl2)、B2、HBR、BCl3等。在沟槽28的形成之后,去除光刻胶26(如果有剩下)、硬掩膜层24和垫层22。
根据一些示例性实施例,沟槽28的宽高比D1/W1大于约2或大于约3或更高,其中D1是沟槽28的深度并且W1是沟槽28的宽度。沟槽28的底面被圆化并且在截面图中具有U形状。圆形的部分可以从沟槽的垂直侧壁至沟槽28的相对侧的垂直侧壁一直平滑成曲线。
相应的步骤在图16所示的工艺流程中被表示为步骤304。清洗例如可以是使用ST250(ATMI公司的商标)溶剂的湿清洗。清洗可以导致或可以不导致半导体衬底20的暴露于沟槽28的薄表面层被去除。沟槽28的底面可以在清洗步骤之后被保持为圆形和曲线的,如图3所示。
由于在沟槽28的形成中的轰击效应,半导体衬底20的表面层被损坏,其中损坏的表面层暴露于沟槽28,并且损坏的表面层的至少一些部分在清洗步骤之后被留下。该损坏还可以由工艺气体中(用于形成沟槽28)的原子(诸如碳原子)至表面层内的渗透造成。该损坏可以包括原子位移、空位等,其由“x”符号表示。损坏的表面层在产生的DTI区域用于隔离图像传感器像素时导致在图像传感器像素的暗电流的增加。损坏的表面层还可以导致白像素的增加,白像素是当被暴露于光时生成电流的像素。因此,在损坏去除步骤中减小表面层,如图4所示。
参考图4,实施损坏去除步骤以去除损坏的表面层。相应的步骤在图16所示的工艺流程中被表示为步骤306。在图4中,虚线30代表在损坏去除工艺前的半导体衬底20的表面的位置。由标记“x"表示的损坏大部分在去除的表面层中。因此,在损坏去除步骤之后,至少大部分并且可能基本全部的位移、空穴等也被去除,并且表面32代表去除之后的表面。
损坏去除步骤可以包括可以使用包含碱性(含碱)的溶液实施的湿蚀刻。根据一些实施例,四甲基氢氧化铵(TMAH)被用于损坏去除步骤中。根据一些可选的实施例,NH4OH溶液、氢氧化钾(KOH)溶液和氢氧化钠(NaOH)等可用于去除损坏的表面层。去除的表面层的厚度ΔT可以大于约50nm,并且可以在从约50nm和约135nm之间的范围中。根据一些实施例的损坏去除步骤表示的实验结果能有效去除损坏。
根据一些使用TMAH的实施例,损坏去除步骤实施了长于约15秒,长于约30秒或长于约45秒的时间段。根据一些实验结果,使用TMAH的约30秒蚀刻导致90nm的表面层去除。
图5A示出了在损坏去除步骤之后的产生的沟槽28。如图5A所示,沟槽28包括上部分28A和连接至上部分28A的下部分28B。根据一些实施例,侧壁32A具有基本垂直的侧壁32A,垂直或以大于约88度或大于约89度的倾斜角基本垂直。沟槽28的上部分28A在描述中相应地称为垂直上部分。
在损坏去除步骤中,随着表面层的去除,沟槽28的侧壁从在图4中的30显示的位置凹陷至32显示的位置。期间,圆形的底部表面也凹陷。由于在不同表面平面上的半导体衬底20的蚀刻速率彼此不同,斜直面32B形成在具有等于约54.7度的倾斜角β的(111)表面平面上。另外,也形成了平坦底面32C,其可以在(001)表面平面(也是(100)表面平面)上。表面32B和32C组合地形成沟槽28的下部分28B的底部表面。在这些实施例中的截面图中,下部分28B具有U形状,并且因此根据一些实施例称为U形下部分。
根据一些实施例,沟槽28的深度D1’在0.5μm和约2.5μm之间的范围中,其中下部分28的深度D2可以在深度D1’的约5%至约15%之间的范围中。
根据一些实施例,在沟槽28的相对侧上的表面32B彼此合并之前停止损坏去除步骤,如图5A所示。根据一些实施例,损坏去除步骤一直持续到沟槽的相对两侧上的表面32B彼此合并,并且因此导致在图5B中显示的轮廓。在根据一些实施例的截面图中,下部分28B具有V形状,并且因此称为V形下部分。类似地,表面32B形成在(111)面层上并且具有54.7度倾斜角。
根据一些实施例,在从形成沟槽28的时间开始至完成图5B或图5C显示的步骤的时间的期间,不实施退火。实验结构表示在这个时间段期间的退火对于减少暗电流和白像素来说是无效的。
图6和图7示出了多个介电层的形成。相应的步骤在图16所示的工艺流程中被表示为步骤308。参考图6,形成氧化物层34和一个或多个诸如第一高k介电层36和第二高k介电层38的高k介电层。根据本发明的一些实施例,氧化物层34通过热氧化形成。当半导体衬底20包括硅时,氧化物层34可以包括氧化硅。根据一些可选的实施例,氧化物层34使用化学汽相沉积(CVD)沉积。氧化物层34的厚度T1可以在约和约之间的范围中。根据一些实施例,第一高k介电层36可以包括HFO2,并且可以使用诸如原子层沉积(ALD)的共形沉积方法形成。高k介电层36的厚度T2可以在约和约之间的范围中。第二高k介电层38可以包括Ta2O5,并且可以使用诸如物理汽相淀积(PVD)的非共形沉积方法形成。高k介电层38的厚度T3可以在约和约之间的范围中。由于高k介电层38可以是非共形的,可以在半导体衬底20上方的高k介电层38的水平部分处测量厚度T3。从沟槽28的顶部至底部,可以降低高k介电层38的厚度,如图6所示。
接下来,如图7所示,形成介电层44。根据本发明的一些实施例,介电层44包括诸如氧化硅的氧化物,根据一些实施例,介电层44可以使用CVD或等离子增强CVD(PECVD)形成。介电层44也称为缓冲氧化物层44。相应的步骤在图16所示的工艺流程中被表示为步骤310。
图8示出了用于形成金属核芯42的填充和回蚀刻。相应的步骤在图16所示的工艺流程中被表示为步骤312。金属核芯42的形成包括沉积步骤以形成金属层,使用PVD、金属有机化学汽相沉积(MOCVD)等实施沉积步骤。虚线41基本上示出了金属层。金属层包括充分填充沟槽28的剩余部分的部分和在缓冲氧化物层的水平部分上方的额外部分(未示出)。接着,实施回蚀刻,并且去除金属层的位于沟槽28(图7)外面的水平部分。剩余部分在图8中示出,并且成为金属核芯42。金属核芯42的顶面可以在诸如低于半导体衬底的顶面和半导体衬底的顶面一样高或高于半导体衬底的顶面的各种位置。
图9A示出了覆盖(recap)介电层45的形成。相应的步骤在图16所示的工艺流程中被表示为步骤314。形成工艺包括填充步骤以填充在图8中显示的凹槽,其中覆盖介电层45的部分形成在介电层44的上方。然后实施平坦化步骤,以平坦化覆盖介电层45的顶面。根据一些实施例,覆盖介电层45包括氧化硅,并且根据一些实施例称为覆盖(recap)氧化物层。覆盖氧化物层45和介电层44组合地称为电介质46。在整个说明书中,在沟槽28中的层的部分组合地称为DTI区域48。根据一些实施例,DTI区域48包括垂直上部分48A和U形下部分48B。
图9A根据一些实施例示出了DTI结构48的形成,其中沟槽28具有V行下部分。因此,根据一些实施例的DTI区域48包括垂直上部分48A和V形下部分48B。
图9C示出了DTI区域48的顶视图。根据一些实施例,多个DTI区域48同时形成,每个具有图9A或图9B显示的结构。多个DTI区域48形成多个长条,包括在X方向延伸的多个第一长条48和在垂直于X方向的Y方向上延伸的多个第二长条48。因此,多个第一长条48和多个第二长条48形成栅格图案,栅格图案具有彼此分离并由栅格限定的半导体衬底20的多个部分。
图10至图12示出了根据本发明的一些实施例的深沟槽隔离区域48的截面图。除非另有明确描述,否则这些实施例中的组件的材料和形成方法与相同组件的材料和形成方法基本上相同,相同的组件在图1至图9C中示出的实施例中由相同的参考标号表示。因此,关于图10至图12中示出的组件的形成工艺和材料的细节可以在图1至图9C中示出的实施例的讨论中找到。
一些实施例的初始步骤与图1至图6中示出的步骤基本相同,并且在此不重复。接着,参考图10,根据一些实施例,在层34、36和38的形成之后,形成氧化物层50。氧化物层50可由氧化硅形成。氧化物层填充如图6所示的剩余的沟槽28,并且包括在高k介电层38上的一些部分。接着,实施平坦化,以平坦化覆盖介电层50的顶面。在生成的结构中,氧化物层50包括覆盖高k介电层38的剩余的部分。因此,氧化物层50填充沟槽28(图6)并且还用作覆盖氧化物层。生成的DTI区域48因此包括填充由高k介电层38限定的空间的氧化物区域。
参考图11,根据一些实施例,在介电层34的形成之后,形成高k介电层52以填充剩余的沟槽28。根据一些实施例,高k介电层52可以由HFO2形成。根据一些实施例,高k介电层52包括在半导体衬底20的顶面上方的一些部分。接着,覆盖氧化物层45形成在高k介电层52上方,并且实施平坦化步骤以平坦化覆盖氧化物层45的顶面。
接着,参考图12,根据一些实施例,在层34和36的形成之后,形成多晶硅层53。多晶硅53填充图6所示的剩余的沟槽28。多晶硅层53包括在高k介电层36上方的一些部分。接着,实施平坦化,以平坦化多晶硅层53的顶面。生成的DTI区域48因此包括填充由高k介电层36限定的空间的多晶硅区域。根据一些实施例,没有覆盖氧化物层形成在多晶硅层53上方。
图13和图14示出了一些实施例,在实施例中DTI区域48被分别用于FSI图像传感器芯片/晶圆和BSI图像传感器芯片/晶圆中。在图13和图14中,未示出DTI区域48的详细结构,并且参考图9A、图9B和图10至图12可以发现DTI区域48的详细结构。
参考图13,FSI图像传感器芯片100包括DTI区域48,DTI区域48形成类似于图9C示出的栅格。像素单元54具有在由DTI区域48限定的区域中形成的部分。像素单元54的每一个均包括光电二极管56、复位晶体管58和额外的部件(未示出)。DTI区域48从半导体衬底20的主要表面20A(其为前表面)延伸至至半导体衬底20内。在FSI图像传感器芯片100中,可以没有覆盖氧化物层45(图9A或9B)形成,并且在前表面20A上方的层/区域34、36、38、42和/或44的部分也可以被去除。互连结构60形成在像素单元54和DTI区域48上方,并且包括在多个介电层中的多个金属线和通孔。滤色器64和微透镜66形成在互连结构60的上方并且与像素单元54对齐。在FSI图像传感器芯片100中,光68从芯片100的前表面投射至光电二极管56。
参考图14,FSI图像传感器芯片200包括DTI区域48,DTI区域48形成类似于图9C示出的栅格。DTI区域48从半导体衬底20的主要表面20A(其为后表面)延伸至半导体衬底20内。示意性地示出了覆盖氧化物层45,而层34、36、38、42和44(图9A或图9B)的部分可以存在,虽然未在图14中示出。除了DTI区域48,BSI图像传感器芯片200可以包括从半导体衬底20的前表面20B延伸至衬底20内的浅沟槽隔离(STI)区域70,其中STI区域70的一些部分与DTI区域48的相应部分对齐。像素单元54具有在由STI区域70限定的区域中形成的一些部分。像素单元54的每一个均包括光电二极管56、复位晶体管58和额外的部件(未示出)。互连结构60形成在像素单元54和DTI区域70上面,并且包括在多个介电层中的多个金属线和通孔。金属栅格72形成在覆盖氧化物层45上方,并且与DTI区域48的相应部分对齐。滤色器64和微透镜66形成在互连结构72的上方,并且与像素单元54对齐。
DTI区域48具有减小串扰的功能,串扰是由光穿透DTI区域48进入邻近像素的光电二极管56内而造成的。DTI区域48的质量影响图像传感器芯片的质量。图15示出了在样品硅晶圆上实施的实验结果,其中,白像素的数量和暗电流作为如图4所示的损坏去除步骤的持续时间的函数示出。左侧Y轴显示了每百万像素的白像素的数量(ppm)。右侧Y轴显示了暗电流。X轴显示了使用TMAH实施的损坏去除步骤的持续时间。
观察到当没有损坏去除实施时(损坏去除的时长是0秒(0″)),白像素的数量为约5200ppm,并且暗电流的为约30.8e/s。当实施15秒(15″)损坏去除时,白像素的数量减少至约4410ppm,并且暗电流减少至约27.1e/s。当实施30秒(30″)损坏去除时,报光速的数量减少至约3,630ppm,并且暗电流减少至约23.4e/s。当实施45秒(45″)损坏去除时,报光速的数量减少至约2,710ppm,并且暗电流减少至约22.6e/s。这些实验结果表明损坏去除步骤在图像传感器的改善中是有效的。
本发明的实施例具有一些有利特征。通过实施损坏去除步骤,在衬底的表面层的损坏与去除的表面层一起被去除。因此,提高了DTI区域的质量。也改善了在图像传感器中的暗电流和白像素。
根据本发明的一些实施例,一种方法包括对半导体衬底实施各向异性蚀刻以形成沟槽。该沟槽具有垂直侧壁和连接至垂直侧壁的圆形的底部。实施损坏去除步骤以去除半导体衬底的表面层,其中表面层暴露于沟槽。蚀刻沟槽的圆形的底部以形成斜直底面。填充沟槽以在沟槽中形成沟槽隔离区域。
根据本发明的一些实施例,一种方法包括蚀刻半导体衬底以形成沟槽,并且对半导体和沟槽实施清洗。在清洗之后,实施损坏去除步骤以去除半导体衬底的表面层,其中表面层在沟槽中。在损坏去除步骤之后,填充沟槽以在沟槽中形成沟槽隔离区域。
根据本发明的一些实施例,一种集成电路结构包括半导体衬底,半导体衬底具有主要表面和从主要表面延伸至半导体衬底内的沟槽隔离区域。沟槽隔离区域具有从主要表面延伸至半导体衬底内的垂直侧壁表面和连接至垂直侧壁表面的斜直底面。垂直侧壁表面基本垂直于主要表面。
根据本发明的一个实施例,提供了一种方法,包括:对半导体衬底实施各向异性蚀刻以形成沟槽,其中,所述沟槽包括垂直侧壁和连接至所述垂直侧壁的圆形的底部;实施损坏去除步骤以去除所述半导体衬底的表面层,所述表面层暴露于所述沟槽,其中,蚀刻所述沟槽的所述圆形的底部以形成斜直底面;以及填充所述沟槽以在所述沟槽中形成沟槽隔离区域。
在上述方法中,还包括,对所述半导体衬底实施清洗,其中,在所述清洗之后保留所述圆形的底部。
在上述方法中,在所述损坏去除步骤之后,所述沟槽包括垂直上部分和连接至所述垂直上部分的U形下部分,所述斜直底面形成所述U形下部分的部分。
在上述方法中,在所述损坏去除步骤之后,所述沟槽包括垂直上部分和连接至所述垂直上部分的V形下部分,所述斜直底面形成所述V形下部分的部分。
在上述方法中,所述斜直底面位于所述半导体衬底的(111)平面上。
在上述方法中,使用碱性溶液实施所述损坏去除步骤。
在上述方法中,填充所述沟槽包括:形成延伸至所述沟槽内的共形氧化物层;以及形成位于所述共形氧化物层上方并且延伸至所述沟槽内的共形高k介电层。
在上述方法中,填充所述沟槽还包括在所述共形高k介电层上方形成非共形高k介电层。
在上述方法中,还包括:填充位于所述共形高k介电层上方的金属区域;以及回蚀刻所述金属区域。
在上述方法中,还包括:形成平行于所述沟槽隔离区域的多个第一沟槽隔离区域;形成垂直于所述沟槽隔离区域的多个第二沟槽隔离区域,其中,所述多个第一沟槽隔离区域和所述多个第二沟槽隔离区域彼此交叉以形成栅格;以及在所述栅格中形成像素单元。
根据本发明的另一实施例,还提供了一种方法,包括:蚀刻半导体衬底以形成沟槽;对所述半导体衬底和所述沟槽实施清洗;在所述清洗之后,实施损坏去除步骤以去除所述半导体衬底的表面层,所述表面层位于所述沟槽中;以及在所述损坏去除步骤之后,填充所述沟槽以在所述沟槽中形成沟槽隔离区域。
在上述方法中,通过使用第一化学溶液的第一湿蚀刻实施所述清洗,以及通过使用第二化学溶液的第二湿蚀刻实施所述损坏去除步骤,所述第二化学溶液与所述第一化学溶液不同。
在上述方法中,在所述损坏去除步骤之前,所述沟槽包括垂直侧壁和连接至所述垂直侧壁的圆形的底部,并且在所述损坏去除步骤之后,所述圆形的底部转变成具有直表面的U形底部或V形底部。
在上述方法中,填充所述沟槽包括:形成延伸至所述沟槽内的介电层;以及在形成所述介电层之后,将金属填充至所述沟槽的剩余部分内。
根据本发明的又一实施例,还提供了一种集成电路结构,包括:半导体衬底,具有主要表面;以及沟槽隔离区域,从所述主要表面延伸至所述半导体衬底内,其中,所述沟槽隔离区域包括:垂直侧壁表面,从所述主要表面延伸至所述半导体衬底内,其中,所述垂直侧壁表面基本上垂直于所述主要表面;以及斜直底面,连接至所述垂直侧壁表面。
在上述集成电路结构中,所述垂直侧壁表面和所述斜直底面均与所述半导体衬底接触。
在上述集成电路结构中,所述沟槽隔离区域包括垂直上部分和U形下部分,并且所述U形下部分包括所述斜直底面和连接至所述斜直底面的平坦底面。
在上述集成电路结构中,所述沟槽隔离区域包括垂直上部分和V形下部分,并且所述V形下部分包括所述斜直底面和连接至所述斜直底面的额外的斜直底面。
在上述集成电路结构中,所述沟槽隔离区域包括:
介电层;以及
金属区域,位于所述介电层的相对的部分之间。
在上述集成电路结构中,所述主要表面是所述半导体衬底的后表面,并且所述集成电路结构还包括位于所述半导体衬底的前表面处的晶体管,所述前表面和所述后表面是所述半导体衬底的相对表面。
以上论述了若干实施例的特征,使得本领域技术人员可以更好地理解本发明的各方面。本领域技术人员应该理解,他们可以容易地使用本发明作为基础来设计或修改用于实施与本文所介绍的实施例相同的目的和/或实现相同优点的其他工艺和结构。本领域技术人员也应该意识到,这种等同构造并不背离本发明的精神和范围,并且在不背离本发明的精神和范围的情况下,本文中他们可以做出多种变化、替换以及改变。

Claims (10)

1.一种方法,包括:
对半导体衬底实施各向异性蚀刻以形成沟槽,其中,所述沟槽包括垂直侧壁和连接至所述垂直侧壁的圆形的底部;
实施损坏去除步骤以去除所述半导体衬底的表面层,所述表面层暴露于所述沟槽,其中,蚀刻所述沟槽的所述圆形的底部以形成斜直底面;以及
填充所述沟槽以在所述沟槽中形成沟槽隔离区域。
2.根据权利要求1所述的方法,还包括,对所述半导体衬底实施清洗,其中,在所述清洗之后保留所述圆形的底部。
3.根据权利要求1所述的方法,其中,在所述损坏去除步骤之后,所述沟槽包括垂直上部分和连接至所述垂直上部分的U形下部分,所述斜直底面形成所述U形下部分的部分。
4.根据权利要求1所述的方法,其中,在所述损坏去除步骤之后,所述沟槽包括垂直上部分和连接至所述垂直上部分的V形下部分,所述斜直底面形成所述V形下部分的部分。
5.根据权利要求1的所述的方法,其中,所述斜直底面位于所述半导体衬底的(111)平面上。
6.根据权利要求1所述的方法,其中,使用碱性溶液实施所述损坏去除步骤。
7.根据权利要求1所述的方法,其中,填充所述沟槽包括:
形成延伸至所述沟槽内的共形氧化物层;以及
形成位于所述共形氧化物层上方并且延伸至所述沟槽内的共形高k介电层。
8.根据权利要求7所述的方法,其中,填充所述沟槽还包括在所述共形高k介电层上方形成非共形高k介电层。
9.一种方法,包括:
蚀刻半导体衬底以形成沟槽;
对所述半导体衬底和所述沟槽实施清洗;
在所述清洗之后,实施损坏去除步骤以去除所述半导体衬底的表面层,所述表面层位于所述沟槽中;以及
在所述损坏去除步骤之后,填充所述沟槽以在所述沟槽中形成沟槽隔离区域。
10.一种集成电路结构,包括:
半导体衬底,具有主要表面;以及
沟槽隔离区域,从所述主要表面延伸至所述半导体衬底内,其中,所述沟槽隔离区域包括:
垂直侧壁表面,从所述主要表面延伸至所述半导体衬底内,其中,所述垂直侧壁表面基本上垂直于所述主要表面;以及
斜直底面,连接至所述垂直侧壁表面。
CN201610769404.6A 2015-08-31 2016-08-30 深沟槽隔离及其形成方法 Active CN106486412B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/840,944 US9754993B2 (en) 2015-08-31 2015-08-31 Deep trench isolations and methods of forming the same
US14/840,944 2015-08-31

Publications (2)

Publication Number Publication Date
CN106486412A true CN106486412A (zh) 2017-03-08
CN106486412B CN106486412B (zh) 2019-08-30

Family

ID=58010604

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201610769404.6A Active CN106486412B (zh) 2015-08-31 2016-08-30 深沟槽隔离及其形成方法

Country Status (5)

Country Link
US (3) US9754993B2 (zh)
KR (1) KR101904590B1 (zh)
CN (1) CN106486412B (zh)
DE (1) DE102015115940B4 (zh)
TW (1) TWI595646B (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107845624A (zh) * 2017-11-02 2018-03-27 德淮半导体有限公司 一种图像传感器及其形成方法
CN111129044A (zh) * 2018-10-31 2020-05-08 台湾积体电路制造股份有限公司 形成半导体器件的方法和半导体器件

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10115758B2 (en) * 2016-12-08 2018-10-30 Taiwan Semiconductor Manufacturing Co., Ltd. Isolation structure for reducing crosstalk between pixels and fabrication method thereof
US10461109B2 (en) * 2017-11-27 2019-10-29 Taiwan Semiconductor Manufacturing Co., Ltd. Multiple deep trench isolation (MDTI) structure for CMOS image sensor
US11302734B2 (en) * 2018-06-29 2022-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Deep trench isolation structures resistant to cracking
US11756793B2 (en) * 2019-12-27 2023-09-12 Hitachi High-Tech Corporation Semiconductor device manufacturing method
KR20210129856A (ko) * 2020-04-21 2021-10-29 삼성전자주식회사 이미지 센서 및 그 제조 방법
US11869761B2 (en) 2020-04-24 2024-01-09 Taiwan Semiconductor Manufacturing Company, Ltd. Back-side deep trench isolation structure for image sensor
US20220359583A1 (en) * 2021-05-05 2022-11-10 Taiwan Semiconductor Manufacturing Co., Ltd. Deep trench isolation for cross-talk reduction
WO2023140838A1 (en) * 2022-01-20 2023-07-27 Applied Materials, Inc. Methods for forming deep trench isolation structures

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR0127690B1 (ko) * 1994-03-18 1998-04-03 김주용 반도체 소자의 트렌치 세정 방법
US5763315A (en) * 1997-01-28 1998-06-09 International Business Machines Corporation Shallow trench isolation with oxide-nitride/oxynitride liner
US5945724A (en) * 1998-04-09 1999-08-31 Micron Technology, Inc. Trench isolation region for semiconductor device
US6140208A (en) * 1999-02-05 2000-10-31 International Business Machines Corporation Shallow trench isolation (STI) with bilayer of oxide-nitride for VLSI applications
US20050153490A1 (en) * 2003-12-16 2005-07-14 Jae-Man Yoon Method of forming fin field effect transistor
US20060043437A1 (en) * 2004-08-24 2006-03-02 Chandra Mouli Transparent metal shielded isolation for image sensors
KR100555490B1 (ko) * 1999-10-15 2006-03-03 삼성전자주식회사 반도체소자의 트렌치 아이솔레이션 형성 방법
US20140035083A1 (en) * 2012-07-31 2014-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Elevated Photodiode with a Stacked Scheme
CN103985711A (zh) * 2013-02-08 2014-08-13 台湾积体电路制造股份有限公司 具有减少的寄生电容量的FinFET及其制造方法

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SE512813C2 (sv) * 1997-05-23 2000-05-15 Ericsson Telefon Ab L M Förfarande för framställning av en integrerad krets innefattande en dislokationsfri kollektorplugg förbunden med en begravd kollektor i en halvledarkomponent, som är omgiven av en dislokationsfri trench samt integrerad krets framställd enligt förfarandet
US6040597A (en) 1998-02-13 2000-03-21 Advanced Micro Devices, Inc. Isolation boundaries in flash memory cores
JP4244456B2 (ja) 1999-08-04 2009-03-25 株式会社デンソー 半導体装置の製造方法、絶縁ゲート型バイポーラトランジスタの製造方法及び絶縁ゲート型バイポーラトランジスタ
US6285057B1 (en) * 1999-11-17 2001-09-04 National Semiconductor Corporation Semiconductor device combining a MOSFET structure and a vertical-channel trench-substrate field effect device
JP2001351895A (ja) 2000-06-09 2001-12-21 Denso Corp 半導体装置の製造方法
US6355538B1 (en) 2000-09-18 2002-03-12 Vanguard International Semiconductor Corporation Method of forming isolation material with edge extension structure
US6475857B1 (en) 2001-06-21 2002-11-05 Samsung Electronics Co., Ltd. Method of making a scalable two transistor memory device
US6667223B2 (en) 2001-07-13 2003-12-23 Infineon Technologies Ag High aspect ratio high density plasma (HDP) oxide gapfill method in a lines and space pattern
JP2004111747A (ja) 2002-09-19 2004-04-08 Tokyo Electron Ltd 半導体基板の処理方法及び半導体素子
JP4632690B2 (ja) 2004-05-11 2011-02-16 スタンレー電気株式会社 半導体発光装置とその製造方法
US7122840B2 (en) * 2004-06-17 2006-10-17 Taiwan Semiconductor Manufacturing Co., Ltd. Image sensor with optical guard ring and fabrication method thereof
US20080264477A1 (en) 2006-10-09 2008-10-30 Soltaix, Inc. Methods for manufacturing three-dimensional thin-film solar cells
EP2031653B1 (en) * 2007-08-27 2014-03-05 Denso Corporation Manufacturing method for a semiconductor device having multiple element formation regions
JP5365033B2 (ja) * 2008-03-12 2013-12-11 ソニー株式会社 固体撮像装置
US7791124B2 (en) 2008-05-21 2010-09-07 International Business Machines Corporation SOI deep trench capacitor employing a non-conformal inner spacer
KR20090125632A (ko) * 2008-06-02 2009-12-07 주식회사 하이닉스반도체 불휘발성 메모리 소자의 제조방법
US8815700B2 (en) 2008-12-08 2014-08-26 Texas Instruments Incorporated Method of forming high lateral voltage isolation structure involving two separate trench fills
US8592915B2 (en) 2011-01-25 2013-11-26 Taiwan Semiconductor Manufacturing Company, Ltd. Doped oxide for shallow trench isolation (STI)
FR2981502A1 (fr) 2011-10-18 2013-04-19 St Microelectronics Crolles 2 Procede de realisation d'au moins une tranchee d'isolation profonde
JP5816560B2 (ja) * 2012-01-10 2015-11-18 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
CN102832270A (zh) 2012-08-16 2012-12-19 友达光电股份有限公司 太阳能电池及其制作方法

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR0127690B1 (ko) * 1994-03-18 1998-04-03 김주용 반도체 소자의 트렌치 세정 방법
US5763315A (en) * 1997-01-28 1998-06-09 International Business Machines Corporation Shallow trench isolation with oxide-nitride/oxynitride liner
US5945724A (en) * 1998-04-09 1999-08-31 Micron Technology, Inc. Trench isolation region for semiconductor device
US6140208A (en) * 1999-02-05 2000-10-31 International Business Machines Corporation Shallow trench isolation (STI) with bilayer of oxide-nitride for VLSI applications
KR100555490B1 (ko) * 1999-10-15 2006-03-03 삼성전자주식회사 반도체소자의 트렌치 아이솔레이션 형성 방법
US20050153490A1 (en) * 2003-12-16 2005-07-14 Jae-Man Yoon Method of forming fin field effect transistor
US20060043437A1 (en) * 2004-08-24 2006-03-02 Chandra Mouli Transparent metal shielded isolation for image sensors
US20140035083A1 (en) * 2012-07-31 2014-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Elevated Photodiode with a Stacked Scheme
CN103985711A (zh) * 2013-02-08 2014-08-13 台湾积体电路制造股份有限公司 具有减少的寄生电容量的FinFET及其制造方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107845624A (zh) * 2017-11-02 2018-03-27 德淮半导体有限公司 一种图像传感器及其形成方法
CN111129044A (zh) * 2018-10-31 2020-05-08 台湾积体电路制造股份有限公司 形成半导体器件的方法和半导体器件

Also Published As

Publication number Publication date
US20170373117A1 (en) 2017-12-28
KR101904590B1 (ko) 2018-10-04
US11217621B2 (en) 2022-01-04
US20170062512A1 (en) 2017-03-02
US20220130888A1 (en) 2022-04-28
US9754993B2 (en) 2017-09-05
TWI595646B (zh) 2017-08-11
TW201709503A (zh) 2017-03-01
CN106486412B (zh) 2019-08-30
DE102015115940B4 (de) 2020-02-20
DE102015115940A1 (de) 2017-03-02
KR20170026053A (ko) 2017-03-08

Similar Documents

Publication Publication Date Title
CN106486412B (zh) 深沟槽隔离及其形成方法
US11374046B2 (en) Semiconductor structure and method of manufacturing the same
US20180047777A1 (en) Deep Trench Isolation Structure and Method of Forming Same
CN108962924A (zh) 形成图像传感器的吸收增强结构的方法
CN106558478B (zh) 形成半导体器件结构的方法
US10424610B2 (en) Capacitor, image sensor circuit and fabrication methods thereof
US20220320155A1 (en) Semiconductor structure and method of manufacturing the same
CN108470745A (zh) 图像传感器及其形成方法
TWI508224B (zh) 淺溝槽隔離結構及其製造方法
KR100548513B1 (ko) 에스티아이 공정을 개선하기 위한 리버스 에치백 방법
KR101026481B1 (ko) 반도체 소자의 제조방법
CN104465532B (zh) 浅沟道隔离结构及其制造方法
CN115763509A (zh) 改善cmos图像传感器像素区边缘发光问题的方法
KR100897958B1 (ko) 반도체 장치의 소자 분리막 및 이의 형성방법
KR100808590B1 (ko) 반도체 소자의 소자분리막 및 그의 형성방법
KR100881414B1 (ko) 반도체 소자의 소자분리막 형성방법
CN117832233A (zh) 图像传感器及其形成方法
KR101161661B1 (ko) 반도체 소자의 소자분리막 형성방법
KR20110075935A (ko) 반도체 소자 및 그의 형성 방법
KR20060075104A (ko) 반도체 소자의 소자 분리막 형성 방법
KR20060078431A (ko) 얕은 트렌치 소자분리막의 보이드 방지 방법
KR20100057193A (ko) 반도체 소자의 소자 분리막 형성 방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant