CN105981177A - 场效应晶体管构造及存储器阵列 - Google Patents

场效应晶体管构造及存储器阵列 Download PDF

Info

Publication number
CN105981177A
CN105981177A CN201480075413.4A CN201480075413A CN105981177A CN 105981177 A CN105981177 A CN 105981177A CN 201480075413 A CN201480075413 A CN 201480075413A CN 105981177 A CN105981177 A CN 105981177A
Authority
CN
China
Prior art keywords
channel region
source
along
insulant
drain
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201480075413.4A
Other languages
English (en)
Other versions
CN105981177B (zh
Inventor
卡迈勒·M·考尔道
钱德拉·穆利
古尔特杰·S·桑胡
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Micron Technology Inc
Original Assignee
Micron Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US14/152,664 external-priority patent/US9076686B1/en
Application filed by Micron Technology Inc filed Critical Micron Technology Inc
Publication of CN105981177A publication Critical patent/CN105981177A/zh
Application granted granted Critical
Publication of CN105981177B publication Critical patent/CN105981177B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/792Field effect transistors with field effect produced by an insulated gate with charge trapping gate insulator, e.g. MNOS-memory transistors
    • H01L29/7926Vertical transistors, i.e. transistors having source and drain not in the same horizontal plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/24Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only semiconductor materials not provided for in groups H01L29/16, H01L29/18, H01L29/20, H01L29/22
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66484Unipolar field-effect transistors with an insulated gate, i.e. MISFET with multiple gate, at least one gate being an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66666Vertical transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66833Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a charge trapping gate insulator, e.g. MNOS transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66969Multistep manufacturing processes of devices having semiconductor bodies not comprising group 14 or group 13/15 materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7827Vertical transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78642Vertical transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78681Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising AIIIBV or AIIBVI or AIVBVI semiconductor materials, or Se or Te
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • H10B63/30Resistance change memory devices, e.g. resistive RAM [ReRAM] devices comprising selection components having three or more electrodes, e.g. transistors
    • H10B63/34Resistance change memory devices, e.g. resistive RAM [ReRAM] devices comprising selection components having three or more electrodes, e.g. transistors of the vertical channel field-effect transistor type
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • H10B63/80Arrangements comprising multiple bistable or multi-stable switching components of the same type on a plane parallel to the substrate, e.g. cross-point arrays
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/011Manufacture or treatment of multistable switching devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/20Multistable switching devices, e.g. memristors
    • H10N70/231Multistable switching devices, e.g. memristors based on solid-state phase change, e.g. between amorphous and crystalline phases, Ovshinsky effect
    • H10N70/235Multistable switching devices, e.g. memristors based on solid-state phase change, e.g. between amorphous and crystalline phases, Ovshinsky effect between different crystalline phases, e.g. cubic and hexagonal
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/881Switching materials
    • H10N70/882Compounds of sulfur, selenium or tellurium, e.g. chalcogenides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02568Chalcogenide semiconducting materials not being oxides, e.g. ternary compounds

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Semiconductor Memories (AREA)
  • Thin Film Transistor (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

本发明揭示一种晶体管,在一些实施例中,其包含具有底部源极/漏极区、第一绝缘材料、导电栅极、第二绝缘材料及顶部源极/漏极区的堆叠。所述堆叠具有垂直侧壁,其具有沿着所述底部源极/漏极区的底部部分,沿着所述导电栅极的中间部分,以及沿着所述顶部源极/漏极区的顶部部分。第三绝缘材料沿着所述垂直侧壁的所述中间部分。沟道区材料沿着所述第三绝缘材料。所述沟道区材料直接抵靠所述垂直侧壁的所述顶部部分及所述底部部分。所述沟道区材料具有处在从大于约3A到小于或等于约IθA的范围内的厚度;及/或具有从1单分子层到7单分子层的厚度。

Description

场效应晶体管构造及存储器阵列
相关专利数据
本申请案是2014年1月10日申请的序列号为14/152,664的美国申请案的部分接续申请案。
技术领域
本文所揭示的实施例涉及场效应晶体管构造且涉及具有多个场效应晶体管的存储器阵列。
背景技术
存储器是一种类型的集成电路,且在计算机系统中用于存储数据。可以个别存储器单元的一或多个阵列制造存储器。可使用数字线(其也可被称作位线、数据线、感测线或数据/感测线)及存取线(其也可被称作字线)写入或读取存储器单元。数字线可沿着阵列的列与存储器单元导电互连,且存取线可沿着阵列的行与存储器单元导电互连。每一存储器单元可通过数字线及存取线的组合唯一地寻址。
存储器单元可为易失性或非易失性的。非易失性存储器单元在许多情况中(包含当关闭计算机时)可在延长时段内存储数据。易失性存储器耗散且因此需要刷新/重写,在许多情况中需要每秒多次刷新/重写。无论如何,存储器单元经配置以将存储器保持或存储于至少两个不同可选状态中。在二进制系统中,所述状态被视为“0”或“1”。在其它系统中,至少一些个别存储器单元可经配置以存储多于两个层级或状态的信息。
场效应晶体管是一种可在存储器单元中使用的电子组件。这些晶体管包括其间具有半导体沟道区的一对导电源极/漏极区。导电栅极邻近沟道区且通过薄栅极电介质与所述沟道区隔开。施加合适的电压到栅极允许电流从源极/漏极区域中的一者流经沟道区到另一者。当从栅极移除电压时,极大程度上防止电流流经沟道区。场效应晶体管也可包含额外结构(例如可逆可编程电荷存储区)作为栅极构造的部分。理想地,使沟道区的长度尽可能地短以最大化晶体管在“导通”状态中的操作速度且最大化电路密度。然而,在“关闭”状态中短物理沟道长度是不良的,这是因为相比于长沟道装置,短沟道装置中源极/漏极区域之间的泄漏电流(Ioff)更大。也需开发可按比例调整到占据面积越来越小且集成水平越来越高的垂直定向场效应晶体管。
附图说明
图1是根据本发明的实施例包括场效应晶体管的衬底片段的示意截面图。
图2是根据本发明的实施例包括场效应晶体管的衬底片段的示意截面图。
图3是根据本发明的实施例包括场效应晶体管的衬底片段的示意截面图。
图4是根据本发明的实施例包括场效应晶体管的衬底片段的示意截面图。
图5是通过图4中的线5-5所得的截面图。
图6是通过图4中的线6-6所得的截面图。
图7是通过图4中的线7-7所得的截面图。
图8是根据本发明的实施例包括存储器阵列的部分的衬底片段的示意截面图。
图9是通过图8中的线9-9所得的截面图。
图10是通过图8中的线10-10所得的截面图。
图11是根据本发明的实施例包括存储器阵列的部分的衬底片段的示意截面图。
图12是通过图11中的线12-12所得的截面图。
图13是通过图11中的线13-13所得的截面图。
图14是通过图11中的线14-14所得的截面图。
图15是根据本发明的实施例包括存储器阵列的部分的衬底片段的示意截面图。
图16到20是根据额外实例实施例的垂直晶体管构造的示意截面图。
图21到29是可用于制造包括实例实施例晶体管的集成电路的实例实施例处理阶段的示意截面图。
图30是集成电路的多个层级的实例实施例堆叠布置的示意横截面图。
具体实施方式
首先参考图1描述根据本发明的实施例的实例场效应晶体管构造。实例衬底片段10包括其上形成有包括场效应晶体管构造14的各种材料的电介质材料(即,绝缘材料)12。实例电介质材料12是掺杂二氧化硅、无掺杂二氧化硅及氮化硅。其它部分或整体制作的集成电路的组件可形成为材料12的部分或可处于材料12的立面内部。衬底片段10可包括半导体衬底。在此文献的上下文中,术语“半导体衬底”或“半导电衬底”经界定以意指包括半导电材料的任何构造,包含(但不限于)块状半导电材料(例如半导电晶片)(单独地或以在其上包括有其它材料的组合件)及半导电材料层(单独地或以在其上包括有其它材料的组合件)。术语“衬底”是指任何支撑结构,包含(但不限于)上文所描述的半导电衬底。在一些实施例中,可将材料12用作“衬底”或“基座”的实例。
本文所描述的材料及/或结构中的任一者可为同质的或非同质的,且无论如何在其上覆的任何材料上可为连续或不连续的。如本文所使用,例如如果此类材料是非同质的,那么“不同成分”仅要求两个所陈述的材料中彼此可直接抵靠的那些部分在化学上及/或物理上不同。如果两个所陈述的材料不彼此直接抵靠且如果此类材料是非同质的,那么“不同成分”仅要求两个所陈述的材料中彼此最接近的那些部分在化学上及/或物理上不同。在此文献中,当所述的材料或结构相对于彼此至少存在一些物理接触时,材料或结构是“直接抵靠”另一者。相反,未在前面注明“直接”的“在…上方”、“在…之上”及“抵靠”则包括“直接抵靠”以及其中介入材料或结构导致与所陈述的材料或结构相对于彼此无物理接触的构造。此外,除非另有声明,否则可使用任何合适的现有或仍待开发的技术形成每一材料,其中实例包含原子层沉积、化学气相沉积、物理气相沉积、外延生长、扩散掺杂及离子植入。
晶体管构造14包括两个源极/漏极区16、18及其间的沟道区20。沟道区20包括沟道材料22(其也可被称作沟道区材料),其具有1单分子层到7单分子层的厚度且具有介于源极/漏极区16与18之间的物理长度(例如,展示为加括号的跨度20的长度)。在此文献中,将“厚度”界定为从紧邻的成分不同的材料的最近表面垂直地穿过给定材料所得的平均直线距离。沟道材料22可包括任何合适的成分或成分的组合。在一些实施例中,沟道材料22可包括、基本上由或由过渡金属硫属化物组成;且因此可被称作过渡金属硫属化物材料。所述过渡金属硫属化物可(例如)包括、基本上由或由过渡金属二硫属化物(例如,MoS2、WS2、InS2、InSe2、MoSe2、WSe2等等)及/或过渡金属三硫属化物(例如,MoS3、WS3、InS3、InSe3、MoSe3、WSe3等等)组成。在一个实施例中,过渡金属硫属化物材料22的厚度不大于4单分子层,且在一个实施例中其厚度不大于2单分子层。
在一个实施例中且如所展示,源极/漏极区16及18也包括具有1单分子层到7单分子层的厚度的过渡金属硫属化物材料22(例如,材料22的延伸部分19)。源极/漏极区16及18经展示为包括直接抵靠硫属化物材料22的传导(即导电)材料30。导电材料30可为导电性掺杂半导电材料、一或多个元素金属、元素金属的合金及导电金属化合物中的任何一或多者。在材料12与30之间缺少过渡金属硫属化物材料22的情况下,导电材料30可替代地延伸到电介质材料12。另外,当硫属化物材料22介于材料12与30之间时,相应源极/漏极区可被视为直接抵靠材料30的构成材料22,其中材料30被视为与材料22的导电接触件,而其本身不一定被视为晶体管构造14的两个源极/漏极区的部分。
在一个实施例中,沟道区20没有导电率增强杂质且在一个实施例中没有可检测导电性增强杂质。在此文献中,“没有导电率增强杂质”意指不多于1x1014原子/立方厘米。在一个实施例中,源极/漏极区16及18没有导电率增强杂质且在一个实施例中没有可检测导电率增强杂质。在其中过渡金属硫属化物材料22包括相应源极/漏极区的至少部分的一个实施例中,此材料22没有导电率增强杂质且在一个实施例中没有可检测导电率增强杂质。
晶体管构造14包括相对于沟道区20的物理长度在操作上接近沟道区20的中间部分26的中间栅极24。在一个实施例中且如所展示,中间部分26相对于沟道区20居中。中间栅极24可被视为具有相对侧28及29。一对栅极32及33分别操作上接近沟道区20的不同部分34及35,其中部分34及35各自不同于部分26。在所描绘的实例中,在侧28上栅极32与中间栅极24隔开且电隔离,且在侧29上栅极33与中间栅极24隔开且电隔离。横向介于紧邻的导电组件30、32、24、33及30之间的电介质材料36展示发生此电隔离。实例电介质材料36与材料12是相同的。结构30、32、24及33的导电材料之间的电介质材料36的实例横向厚度是从约1纳米到15纳米。另外,栅极电介质38介于沟道区20与中间栅极24、栅极32及栅极33中的每一者之间。栅极电介质38的实例厚度是从约1纳米到30纳米。
在一个实施例中,栅极32及33电耦合在一起,例如如经由互连线39概略地所展示。在一个实施例中,中间栅极24具有与栅极32及33中的至少一者的功函数不同的功函数。栅极32及33相对于彼此可具有相同功函数或相对于彼此可具有不同功函数。在此文献的上下文中,相同功函数意指功函数中的差值为零到不大于0.1eV,且不同功函数意指功函数中的差值为至少0.2eV。在一个实施例中,中间栅极24、栅极32及栅极33具有相同功函数。在一个实施例中,栅极32及33具有相同成分。在一个实施例中,中间栅极24、栅极32及栅极33皆具有相同成分。在一个实施例中,中间栅极24、栅极32及栅极33包括n型导电性掺杂半导电材料。在一个此实施例中,中间栅极24的功函数大于栅极32及33的功函数(即,大至少0.2eV)。在一个实施例中,中间栅极24、栅极32及33包括p型导电性掺杂半导电材料。在一个此实施例中,中间栅极24的功函数小于栅极32及33的功函数(即,小至少0.2eV)。作为栅极24、32及33的材料的一些实例,n+掺杂多晶硅及p+掺杂多晶硅(即,将每一者掺杂为至少1X1020原子/立方厘米)分别具有约4.0eV及5.1eV的功函数。TiN具有约4.65eV的功函数,其中W及WN具有范围介于约4.3eV与4.6eV之间的功函数。
图2展示根据本发明的替代实施例的相对于衬底片段10a形成的场效应晶体管构造14a。在适当的情况下使用来自上文所描述的实施例的类似数字,其中使用后缀“a”指示一些构造差异。栅极32、24及33可被视为分别包括相对侧40及41,其中中间栅极24的所述侧不同于相对中间栅极侧28及29。沟道区20a在中间栅极24及栅极32、33对的相对侧40及41中的每一者上包括具有1单分子层到7单分子层的厚度的过渡金属硫属化物材料22。栅极电介质38介于过渡金属硫属化物材料22与中间栅极24及栅极32、33对的相对侧40、41中的每一者之间。在一个实施例中且如所展示,源极/漏极区16a及18a个别地包括过渡金属硫属化物材料22的两个分隔部分19。导电材料30介于源极/漏极区16a、18a两者内的部分19之间且与其电耦合。再次,取决于材料30的成分,可将其视为源极/漏极区16a、18a的部分或介于被视为硫属化物材料22的部分19的源极/漏极区之间的导电互连件。作为替代构造且类似于上文相对于图1所描述的构造,没有过渡金属硫属化物材料22可介于材料30与材料12(未展示)之间,且介于材料30与材料36(未展示)之间。
根据本发明的实施例的场效应晶体管构造可具有任何所要的定向。图1及2展示构造14及14a为水平定向。在替代实施例中,场效应晶体管构造可垂直定向或非垂直或非水平定向。在此文献中,垂直是大体上正交与水平的方向,其中水平指代沿着在制造期间处理衬底所相对的主要表面的一般方向。此外,本文所使用的垂直及水平大体上是独立于衬底在三维空间中的定向相对于彼此垂直的方向。另外,立面、上方及下方是参考垂直方向。此外在此文献的上下文中,垂直定向晶体管的特征在于在垂直方向上穿过沟道区的主要电流流动。此外在此文献的上下文中,水平定向的晶体管的特征在于在水平方向上穿过沟道的主要电流流动。
作为实例,相对于图3中的衬底10b展示垂直定向场效应晶体管构造14b。在适当的情况下已使用来自上文所描述的实施例的类似数字,其中使用后缀“b”或使用不同数字指示一些构造差异。图3的构造类似于图2的构造,尽管可使用类似于图1的结构或其它结构。图3展示垂直定向场效应晶体管构造14b,其中栅极33包括与中间栅极24的材料向上隔开且与其电隔离的外部栅极材料。栅极32包括与中间栅极24的材料向下隔开且电隔离的内部栅极材料。源极/漏极区18a可被视为立面外部源极/漏极区且源极/漏极区16a可被视为立面内部源极/漏极区。外部源极/漏极区18a及内部源极/漏极区16a可被视为包括相应横向外部侧壁44。在一个实施例中,导电接触件45直接抵靠外部源极/漏极区18a的过渡金属硫属化物材料22的横向外部侧壁44。在一个实施例中,导电接触件46直接抵靠内部源极/漏极区16a的过渡金属硫属化物材料22的横向外部侧壁44。导电接触件45及46分别经展示为仅接触每一源极/漏极区的过渡金属硫属化物材料22的一个横向外部侧壁。替代地或另外,导电接触件可相对于源极/漏极区的一或二者直接抵靠(未展示)过渡金属硫属化物材料22的另一横向外部侧壁。
接下来参考展示衬底10c的图4到7描述替代实施例垂直场效应晶体管构造14c。在适当的情况下已使用来自上文所描述的实施例的类似数字,其中使用后缀“c”或使用不同数字指示一些构造差异。垂直晶体管构造14c包括绝缘核芯48(即,电隔离)。绝缘核芯48的材料可为电介质,包含(例如)上文相对于材料12及36的成分所描述的任何材料。绝缘核芯48的材料可为半导电性或导电性,且(例如)可提供电隔离功能给垂直晶体管构造14c上方及/或下方的电路组件(未展示),例如保持在接地或一些其它电位上。
过渡金属硫属化物材料22c环绕绝缘核芯48且具有1单分子层到7单分子层的横向壁厚度。栅极电介质38c环绕过渡金属硫属化物材料22c。在一个实施例中,绝缘核芯48、过渡金属硫属化物材料22c及栅极电介质38c各自具有在水平截面上是环形的相应周边。
导电中间栅极24c在过渡金属硫属化物材料22c的立面中间部分处环绕栅极电介质38c。导电外部栅极材料33c在过渡金属硫属化物材料22c的立面外部部分35c处环绕栅极电介质38c。外部栅极材料33c(例如通过电介质36c)与中间栅极材料24c立面隔开且电隔离。导电内部栅极材料32c在过渡金属硫属化物材料22c的立面内部部分34c处环绕栅极电介质38c。内部栅极材料32c(例如通过电介质36c)与中间栅极材料24c立面隔开且电隔离。为简洁起见,未相对于内部栅极材料32c展示横截面图。此横截面应看上去与图6横截面相同,但其中数字32c取代数字33c。
立面外部源极/漏极区18c环绕绝缘核芯48且与外部栅极材料33c立面向外隔开且与其电隔离。立面内部源极/漏极区16c环绕绝缘核芯48且与内部栅极材料32c立面向内隔开且与其电隔离。在一个实施例中且如所展示,外部及内部源极/漏极区18c及16c分别包括具有1单分子层到7单分子层的厚度的横向壁厚度的过渡金属硫属化物材料22c。在一个实施例中,导电接触件直接抵靠外部源极/漏极区18c及内部源极/漏极区16c中的至少一者的横向外部侧壁,其中展示实例导电接触件45c及46c。为简洁起见,未相对于内部源极/漏极区16c展示横截面图。此横截面应看上去与图7横截面相同,但其中数字16c及46c分别取代数字18c及45c。可相对于参考图4到7所描述的实施例应用上文相对于图1到3实施例所描述的任何其它或额外属性。
可将上文所描述的晶体管用作任何现有或仍待开发的集成电路的部分。另外,且作为实例,可将多个上述的场效应晶体管并入阵列(例如存储器阵列)内。相对于本文关于阵列的结构描述,子阵列(即,全阵列的部分)也可被视为阵列。在一个实施例中,根据本发明的存储器阵列包括个别地包括垂直场效应晶体管的多个存储器单元。所述个别晶体管包括立面外部源极/漏极区、立面内部源极/漏极区及立面介于外部与内部源极/漏极区之间的沟道区。所述沟道区包括具有1单分子层到7单分子层的横向厚度的过渡金属硫属化物材料且具有立面介于源极/漏极区之间的物理长度。在一个实施例中,立面外部及内部源极/漏极区包括具有1单分子层到7单分子层的横向壁厚度的过渡金属硫属化物材料。无论如何,中间栅极横向地接近沟道区的立面中间部分。外部栅极处于中间栅极上方且横向地接近沟道区的立面外部部分。所述外部栅极与中间栅极立面隔开且电隔离。内部栅极处于中间栅极下方且横向地接近沟道区的立面内部部分。所述内部栅极与中间栅极立面隔开且电隔离。栅极电介质横向地介于a)沟道区与b)中间栅极、外部栅极及内部栅极之间。理想地,贯穿存储器阵列的晶体管构造是相同的,但不一定如此。仅以实例的方式,图3的晶体管构造14b及图4到7的晶体管构造14c仅是根据本发明的可在存储器及/或晶体管阵列中使用的两个实例垂直场效应晶体管构造。
无论如何,a)阵列内外部栅极彼此电耦合及b)阵列内内部栅极彼此电耦合中的至少一者。在一个实施例中,阵列内外部栅极彼此电耦合且阵列内内部栅极彼此电耦合。在一个实施例中,阵列内全部内部栅极与全部外部栅极电耦合。可使用上文相对于图1到7实施例所描述的其它或额外属性。
根据本发明的实施例的一个此实例存储器阵列60的部分相对于图8到10中的衬底10d经展示,且其包括类似图4到7的场效应晶体管构造的多个场效应晶体管构造。在适当的情况下已使用来自上文所描述的实施例的类似数字,其中使用后缀“d”或使用不同数字指示一些构造差异。实例阵列60具有互连于(即,电耦合于)处于行或列62中的多个晶体管14d之间的中间栅极24d,所述中间栅极24d通过合适的电介质材料64彼此隔开。a)阵列内外部栅极33d彼此电耦合及b)阵列内内部栅极32d彼此电耦合中的至少一者。外部栅极33d经展示贯穿阵列60电耦合,例如为板状。内部栅极32d经展示贯穿阵列60电耦合,例如为板状。栅极33d及32d可彼此电耦合。电介质材料36d及64经展示使各种组件绝缘。可使用上文相对于图1到7所描述的其它或额外属性。中间栅极24可互连于处于行或列中的阵列内以用作存取线。位线(未展示)可与处于行或列的另一者中的多个外部源极/漏极18d或多个内部源极/漏极18e中的一者互连以用作数据/感测线。电荷存储装置(未展示)(例如,电容器)可电耦合到多个外部源极/漏极18d或多个内部源极/漏极18e的另一者。
替代实施例存储器阵列60e相对于图11到14中的衬底10e经展示,且其包括类似图3的场效应晶体管构造的多个场效应晶体管构造。在适当的情况下已使用来自上文所描述的实施例的类似数字,其中使用后缀“e”或使用不同数字指示一些构造差异。实例阵列60e具有互连于(即,电耦合于)处于行或列62e中的多个晶体管14e之间的中间栅极24e,所述中间栅极24e通过电介质材料64e彼此隔开。a)阵列内外部栅极33e彼此电耦合及b)阵列内内部栅极32e彼此电耦合中的至少一者。外部栅极33e示意性地且概略地经展示为经由互连线39(图13)相对于彼此电耦合,且贯穿阵列全部所述外部栅极33e可彼此电耦合。同样地可如此耦合内部栅极32e,且贯穿阵列栅极33e及32e可彼此电耦合。电介质材料64e使各种组件绝缘。可使用上文相对于图1到10的实施例所描述的其它或额外属性。
替代实施例存储器阵列60f相对于图15中的衬底10f经展示且描述,且其并入类似图3的垂直场效应晶体管构造的垂直场效晶体管构造且由此类似于图11到14的阵列60e。在适当的情况下已使用来自上文所描述的实施例的类似数字,其中使用后缀“f”或使用不同数字指示一些构造差异。晶体管构造14f彼此水平隔开,其中阵列60f包括处于电介质材料64f内且介于水平紧邻的晶体管构造14f之间的反向偏置栅极75。如所展示,反向偏置栅极75可分别沿着立面外部栅极、立面内部栅极及中间栅极33e、32e及24e立面延伸。个别反向偏置栅极75也可如图12及13中所展示的材料24e及33e那样在平行于且介于材料24e及33e的线之间的线上纵向延伸。在一个实施例中,阵列内的全部反向偏置栅极75彼此电耦合。可使用上文相对于图1到14实施例所描述的其它或额外属性。
技术人员可提供额外电路(未展示)用于存取(例如,读取自及/或写入到)阵列内的存储器单元。此电路可包含将较大的存储器阵列形成为多个单独子阵列。将此段落到此文献的末尾中所使用的“子阵列”界定为全存储器阵列单元的子集,所述子集的全存储器阵列单元处于连续区域内且可独立于其中具有全存储器阵列单元的其它者的其它子阵列激活。子阵列可先后或以其它方式相对于彼此独立制造且操作。无论如何,可以任何方式操作根据本发明的实施例的晶体管及存储器阵列。理想地,可静电界定且动态改变根据本发明的晶体管的有效沟道长度。作为相对于晶体管及/或存储器阵列的一个实例,贯穿全部给定子阵列,栅极33d/33e/33f可相对于彼此电耦合且可分别电耦合到阵列60、60e及60f中的栅极32d/32e/32f。在子阵列的“非作用”、“待命”或“关闭”状态中,可使此类栅极偏置使得其紧邻的半导电过渡金属硫属化物材料22耗尽载子,由此使得个别晶体管的有效沟道长度更长(例如,泄漏电流更少)。在本发明的上下文中,“耗尽载子”意指少于或等于1x1015载子/立方厘米(例如,电子或空穴)。在非作用、待命或关闭状态中,可将中间栅极24d/24e/24f设置为0伏特或略呈负值。举例来说,全部“非作用”子阵列可使其栅极如此偏置。此结构及操作可减少非作用、待命或关闭状态中的功率消耗。
针对其中在一些时段内将相对于“作用”子阵列中的存储器单元发生读取及/或写入的所述子阵列,可使栅极32、33偏置以引发其紧邻的过渡金属硫属化物材料中的高载子密度,由此使得个别晶体管的有效沟道长度更短。在此文献的上下文中,“高载子密度”意指至少1x1018载子/立方厘米。作用子阵列中的中间栅极24d/24e/24f可在“导通”状态(例如,电压值非零)中正常操作以造成电流流经有效较短晶体管沟道,或在“关断”状态(例如,电压值为零)中正常操作以排斥此电流流动(但针对泄漏)。当然,可使用替代操作方式。
在一些实施例中,认识到制造垂直场效应晶体管存在优势,所述垂直场效应晶体管具有厚度与在晶体管的“导通”状态中的导电层厚度约相同的沟道材料。特定来说,常规晶体管装置在沟道区上频繁使用相对厚的半导体材料,且所述半导体材料的大部分是多余的。在“导通”状态中,仅沟道材料邻近栅极的部分实际承载电流。多余沟道材料可被视为浪费空间,且减小装置的可伸缩性。相反,用于本文所描述的实施例中的薄沟道材料22可形成为足以用于“导通”状态中所要的电流传导的厚度。在一些实施例中,沟道材料可形成为从约到约的厚度;及/或可形成为从1单分子层到7单分子层的厚度。
图16到20说明实例实施例垂直晶体管构造10g到10k。
参考图16,晶体管构造包括垂直定向堆叠66,所述垂直定向堆叠66包括(以递升顺序)底部源极/漏极区16、第一绝缘材料67、栅极材料24(用于导电栅极25中)、第二绝缘材料68及顶部源极/漏极区18。绝缘材料67及68可包括彼此相同的成分,或可包括不同的成分;且在一些实施例中可包括与上文相对于绝缘材料36所描述相同的成分。
堆叠66由对应于绝缘材料12的基座支撑。在所展示的实施例中,间隙将所述堆叠与所述基座隔开以指示堆叠与基座之间可存在一或多个介入材料。
堆叠具有彼此成对立关系的垂直侧壁70及72。垂直侧壁具有沿着底部源极/漏极区16的底部部分73、沿着导电栅极25的中间部分75及沿着顶部源极/漏极区18的顶部部分77。
栅极电介质38(其可被称作绝缘材料,且在一些实施例中可被称作第三绝缘材料以使其与第一及第二绝缘材料67及68区分)沿着垂直侧壁70/72的整个中间部分75。如在图18到20中所说明,栅极电介质38也可垂直地重叠绝缘区67及68中的一或两者。
沟道区材料22沿着栅极电介质38且通过栅极电介质38与导电栅极25隔开。沟道区材料直接抵靠侧壁70/72的底部部分73的至少部分,且也直接抵靠侧壁70/72的顶部部分77的至少部分。本文所描述的垂直晶体管构造的优势可为即使当构造按比例调整到较小尺寸,源极/漏极区16及18的较大表面仍可直接耦合到沟道区材料22。特定来说,沟道区22垂直地重叠源极/漏极区的侧壁,且直接抵靠此类侧壁。因此,即使通过沿着所说明的横截面宽度将本文所描述的装置(例如,图16到20中所展示的晶体管装置)压缩来使所述装置收缩,沟道区22与源极/漏极区之间的电耦合仍不受影响,因为沟道区与源极/漏极区之间的垂直重叠面积可保持相同。沟道材料可为任何合适的材料,包含(例如)过渡金属硫属化物、结晶半导体材料(例如,单晶硅、多晶硅等等)、或可以大体上2维定向(即,以具有长度与宽度但厚度非常小的定向)形成的任何材料。
在不同实施例中可改变沟道区材料22与源极/漏极区的重叠量,但至少一些沟道区材料将垂直地重叠源极/漏极区中的每一者且直接接触源极/漏极区中的每一者。例如,图18展示其中沟道区材料22仅部分垂直地重叠源极/漏极区16及18的实施例。
沟道区材料22可包括上文所描述的成分中的任何者(举例来说,可包括、基本上由或由过渡金属硫属化物组成,所述过渡金属硫属化物包括选择自由硫化钼、硒化钼、硫化钨、硒化钨、硫化铟及硒化铟组成的群组中的一或多个材料),且也可具有处在从大于约到小于或等于约的范围内的厚度;及/或可具有从1单分子层到7单分子层的厚度。
图16的构造具有沿着侧壁70及72两者形成的沟道材料22;且因此具有关于垂直面78的镜像对称。在其它实施例中,沟道材料可沿着侧壁70/72中的仅一者形成。例如,图17展示其中沟道材料22及电介质38沿着侧壁72而非侧壁70形成的实施例。图17的构造不具有关于垂直面78的镜像对称。在一些实施例中,图17的构造可为优选的,因为其可按比例调整到较小尺寸及/或相比于图16的构造其制造可更简单。在其它实施例中,图16中的对称实施例可为优选的,因为其栅极及源极/漏极区到沟道区的电耦合较好及/或其制造更简单。
可使用任何合适的处理形成由图16到20举例说明的晶体管构造。参考21到29描述实例处理。
参考图21,构造80经展示以包括基座12及所述基座上的堆叠82。所述堆叠包含数字线材料84、源极/漏极材料85、绝缘材料67、导电栅极材料24、绝缘材料68及源极/漏极材料87。源极/漏极材料85及87可为彼此成分相同或彼此不同,且最终分别经图案化为源极/漏极区16及18。源极/漏极材料可(举例来说)包括导电性掺杂半导体材料(例如,导电性掺杂硅及/或锗)及/或金属。
参考图22,可将绝缘材料67、栅极材料24、绝缘材料68及源极/漏极材料87图案化为通过间隙92彼此分隔的柱90。可使用任何合适的处理实现此图案化。例如,可在堆叠82上形成掩模(未展示)以界定柱的定位,且随后可实施蚀刻以形成间隙92。可接着将掩模移除以留有所说明的图22的构造。图案化将材料87形成为源极/漏极区18(至少沿着所说明的图22的横截面;可使用未展示的其它处理使源极/漏极区沿着正交于所说明的横截面的方向(即,相对于所说明的图的页面内及外)彼此电隔离)。
参考图23,栅极电介质38经形成以延伸到柱90上且介于柱90之间。
参考图24,各向异性地蚀刻栅极电介质材料38以从沿着源极/漏极材料87的侧壁移除栅极电介质38,同时沿着栅极材料24的侧壁留有栅极电介质材料。
参考图25,在蚀刻穿过源极/漏极材料85期间,将柱90用作掩模。此将材料85图案化为柱下方的台座60。所述台座可具有与材料67、24、68及87的侧壁约垂直地共延伸的侧壁(如所展示),可相对于其它侧壁嵌入(在未展示的其它实施例中),或从其它侧壁向外横向延伸(在未展示的其它实施例中)。图案化将材料85形成为源极/漏极区16(至少沿着所说明的图25的横截面;可使用未展示的其它处理使源极/漏极区沿着正交于所说明的横截面的方向(即,相对于所说明的图的页面内及外)彼此电隔离)。
参考图26,沟道区材料22形成于柱90上且介于柱90之间;且绝缘材料36形成于沟道区材料上。
参考图27,可使用合适的处理(例如,化学机械抛光或其它平坦化)将材料22及36从材料87的顶部表面上移除,且使用合适的处理(例如,在使用掩模保护柱90及沿着柱的侧壁的材料22及36区域的同时实施的蚀刻)将材料22及36从间隙92内的数字线84区域上移除。图27的构造具有沿着柱90的侧壁形成的沟道区材料22,且具有保持在数字线区域上且介于柱之间的间隙92。也可沿着字线方向(相对于图27的截面图的页面内及外)图案化沟道材料22使得与个别晶体管相关联的沟道材料沿着此字线方向与邻近晶体管相关联的沟道材料绝缘。
参考图28,额外绝缘材料36形成于间隙92内以填充间隙;且平坦化表面91跨材料87、22及36形成。额外绝缘材料36可在一些实施例中被称作第三绝缘材料,以使其与第一及第二绝缘材料67及68区分。
在一些实施例中,可在处于或低于约750℃的情况下使用处理形成材料22及36(其中术语“处于或低于大750℃”意指在沉积材料22及36期间,构造80的组件皆不暴露于超过约750℃的温度下)。此处理可为有优势的,因为可避免有害热条件。
图28的构造包括多个晶体管100a到100c。此类晶体管可代表形成于阵列中的大量晶体管。数字线84可代表沿着阵列的列延伸的大量数字线,且栅极材料24可并入到沿着阵列的行延伸的字线中(延伸于相对于图28的横截面的页面内及外)。
参考图29,晶体管的源极/漏极区16经展示连接到电路101a到101c。在一些实施例中,晶体管用于存储器阵列中且电路101a到101c对应于电荷存储装置(例如电容器),或对应于存储器单元(例如,相变存储器单元、导电桥接RAM单元、其它类型的RRAM单元、磁性RAM单元等等)。
图29的构造的优势是此可易堆叠以形成三维架构。例如,图29的构造经展示对应于集成电路的层级(或层次)110。图30展示多个层级110a到110c可以集成电路架构层层堆叠。层级可彼此分隔开以示意性地说明层级110a到110c之间可存在其它电路(包含其它层级或层次)。
术语“电介质”及“电隔离”两者皆可用以描述具有绝缘电性质的材料。在本发明中,所述术语被视为同义。在一些例子中利用术语“电介质”且在其它例子中利用术语“电隔离”可将提供本发明内的语言变化以简化随后的权利要求书的先行基础,且不用以指示任何显著的化学或电性差异。
图式中的各种实施例的特定定向仅为说明的目的,且在一些申请案中可相对于所展示的定向旋转实施例。本文所提供的描述及随后的权利要求书涉及在各种特征之间具有所描述的关系的任何结构,不论结构是否处于图式的特定定向中或相对于此定向旋转。
所附说明的横截面图仅展示横截面的平面内的特征,且不展示横截面的平面后的材料以便简化图式。
结论
在一些实施例中,场效应晶体管构造包括两个源极/漏极区及其间的沟道区。沟道区包括具有1单分子层到7单分子层的厚度且具有介于源极/漏极区之间的物理长度的过渡金属二硫属化物材料。中间栅极操作上接近沟道区且相对于物理长度的中间部分。一对栅极操作上接近沟道区中不同于接近中间栅极的沟道区部分的相应部分。所述栅极对可在中间栅极的相对侧上与中间栅极隔开且电隔离。栅极电介质介于a)沟道区与b)中间栅极及栅极对之间。
在一些实施例中,垂直场效应晶体管构造包括绝缘核芯。过渡金属二硫属化物材料环绕所述绝缘核芯且具有1单分子层到7单分子层的横向壁厚度。栅极电介质环绕过渡金属二硫属化物材料。导电中间栅极材料在过渡金属二硫属化物材料的立面中间部分处环绕栅极电介质。导电外部栅极材料在过渡金属二硫属化物材料的立面外部部分处环绕栅极电介质。外部栅极材料与中间栅极材料立面隔开且电隔离。导电内部栅极材料在过渡金属二硫属化物材料的立面内部部分处环绕栅极电介质。内部栅极材料与中间栅极材料立面隔开且电隔离。立面外部源极/漏极区环绕绝缘核芯且从外部栅极材料立面向外分隔且与其电隔离。立面内部源极/漏极区环绕绝缘核芯且从内部栅极材料立面向内分隔且与其电隔离。
在一些实施例中,垂直场效应晶体管构造包括导电中间栅极材料。导电外部栅极材料与中间栅极材料向上隔开且与其电隔离。导电内部栅极材料与中间栅极材料向下隔开且与其电隔离。栅极电介质处于中间栅极材料、外部栅极材料及内部栅极材料的横向地相对的外侧上。一对横向地相对的沟道处于栅极电介质的横向地相对的外侧上且处于中间栅极材料、外部栅极材料及内部栅极材料的横向地相对的外侧上。所述对沟道分别包括具有1单分子层到7单分子层的横向厚度的过渡金属二硫属化物材料。立面内部源极/漏极区电耦合于沟道中横向地处于内部栅极材料的相对外侧上的所述部分且处于其立面向内。立面外部源极/漏极区电耦合于沟道中横向地处于外部栅极材料的相对外侧上的所述部分且其立面向外。
在一些实施例中,存储器阵列包括个别地包括垂直场效应晶体管的多个存储器单元。晶体管包括立面外部源极/漏极区、立面内部源极/漏极区及立面介于外部与内部源极/漏极区之间沟道区。所述沟道区包括具有1单分子层到7单分子层的横向厚度且具有立面介于源极/漏极区之间的物理长度的过渡金属二硫属化物材料。中间栅极横向地接近沟道区的立面中间部分。外部栅极处于中间栅极上且横向地接近沟道区的立面外部部分。外部栅极与中间栅极立面隔开且电隔离。内部栅极处于中间栅极下方且横向地接近沟道区的立面内部部分。内部栅极与中间栅极立面隔开且电隔离。栅极电介质横向地介于a)沟道区与b)中间栅极、外部栅极及内部栅极之间。a)阵列内外部栅极彼此电耦合及b)阵列内内部栅极彼此电耦合中的至少一者。
在一些实施例中,垂直场效应晶体管构造包含垂直定向堆叠,所述垂直定向堆叠包括(以递升顺序)底部源极/漏极区、第一绝缘材料、导电栅极、第二绝缘材料及顶部源极/漏极区。所述堆叠具有垂直侧壁。所述垂直侧壁具有沿着底部源极/漏极区的底部部分、沿着导电栅极的中间部分及沿着顶部源极/漏极区的顶部部分。第三绝缘材料沿着整个所述垂直侧壁的中间部分。沟道区材料沿着第三绝缘材料且通过第三绝缘材料与导电栅极隔开。沟道区材料直接抵靠垂直侧壁的底部部分的至少部分,且直接抵靠垂直侧壁的顶部部分的至少部分。沟道区材料具有处在从大于约到小于或等于约的范围内的厚度。
在一些实施例中,垂直场效应晶体管构造包括底部源极/漏极区、处于底部源极/漏极区的正上方的导电栅极及处于导电栅极的正上方的顶部源极/漏极区。绝缘材料沿着导电栅极的侧壁。沟道区材料沿着绝缘材料且通过绝缘材料与导电栅极隔开。沟道区材料至少部分沿着底部源极/漏极区的侧壁延伸且至少部分沿着顶部源极/漏极区的侧壁延伸。沟道区材料具有1单分子层到7单分子层的厚度。
在一些实施例中,一种形成晶体管的方法包括形成堆叠,所述堆叠包括(以递升顺序)数字线材料、第一源极/漏极区材料、第一绝缘材料、栅极材料、第二绝缘材料及第二源极/漏极材料。将第一绝缘材料、栅极材料、第二绝缘材料及第二源极/漏极材料图案化为柱。沿着柱的侧壁形成栅极电介质材料。栅极电介质材料沿着栅极材料且非沿着第二源极/漏极材料。在蚀刻穿过漏极区材料期间将柱用作掩模。蚀刻将漏极区材料形成为柱下方的台座。沿着柱及台座的侧壁形成沟道区材料。柱与台座之间的数字线材料区域上留有间隙。沟道区材料具有从1单分子层到7单分子层的厚度。使用第三绝缘材料填充间隙。平坦化跨第二源极/漏极材料、沟道区材料及第三绝缘材料的顶部表面。

Claims (29)

1.一种垂直场效应晶体管构造,其包括:
垂直定向堆叠,其以递升顺序包括底部源极/漏极区、第一绝缘材料、导电栅极、第二绝缘材料及顶部源极/漏极区;所述堆叠具有垂直侧壁;所述垂直侧壁具有沿着所述底部源极/漏极区的底部部分、沿着所述导电栅极的中间部分及沿着所述顶部源极/漏极区的顶部部分;
第三绝缘材料,其沿着所述垂直侧壁的整个所述中间部分;
沟道区材料,其沿着所述第三绝缘材料且通过所述第三绝缘材料与所述导电栅极隔开;所述沟道区材料直接抵靠所述垂直侧壁的所述底部部分的至少部分,且直接抵靠所述垂直侧壁的所述顶部部分的至少部分;且
所述沟道区材料具有处在大于约到小于或等于约的范围内的厚度。
2.根据权利要求1所述的构造,其中所述沟道区材料包括结晶半导体材料。
3.根据权利要求1所述的构造,其中所述沟道区材料包括过渡金属硫属化物。
4.根据权利要求1所述的构造,其中所述沟道区材料包括过渡金属二硫属化物及/或过渡金属三硫属化物。
5.根据权利要求1所述的构造,其中所述沟道区材料包括选自由硫化钼、硒化钼、硫化钨、硒化钨、硫化铟及硒化铟组成的群组的一或多个硫属化物。
6.根据权利要求1所述的构造,其中所述垂直侧壁是第一垂直侧壁,且所述堆叠具有与所述第一垂直侧壁成相对关系的第二垂直侧壁;且其中所述构造具有穿过所述堆叠的中心沿着垂直面的镜像对称使得所述沟道区材料及所述第三绝缘材料也沿着所述第二垂直侧壁。
7.根据权利要求1所述的构造,其中所述垂直侧壁是第一垂直侧壁,且所述堆叠具有与所述第一垂直侧壁成相对关系的第二垂直侧壁;且其中所述构造不具有穿过所述堆叠的中心沿着垂直面的镜像对称。
8.根据权利要求1所述的构造,其中所述沟道区材料仅部分沿着所述垂直侧壁的所述顶部部分及所述底部部分中的一或两者延伸。
9.根据权利要求1所述的构造,其中所述沟道区材料整体沿着所述垂直侧壁的所述顶部部分及所述底部部分的至少一者延伸。
10.根据权利要求1所述的构造,其中所述沟道区材料整体沿着所述垂直侧壁的所述顶部部分及所述底部部分两者延伸。
11.根据权利要求1所述的构造,其中所述第三绝缘材料沿着所述第一绝缘材料延伸。
12.根据权利要求1所述的构造,其中所述第三绝缘材料沿着所述第二绝缘材料延伸。
13.一种垂直场效应晶体管构造,其包括:
底部源极/漏极区;
导电栅极,其处于所述底部源极/漏极区的正上方;
顶部源极/漏极区,其处于所述导电栅极的正上方;
绝缘材料,其沿着所述导电栅极的侧壁;
沟道区材料,其沿着所述绝缘材料且通过所述绝缘材料与所述导电栅极隔开;所述沟道区材料至少部分沿着所述底部源极/漏极区的侧壁延伸且至少部分沿着所述顶部源极/漏极区的侧壁延伸;且
所述沟道区材料具有从1单分子层到7单分子层的厚度。
14.根据权利要求13所述的构造,其中所述沟道区材料包括过渡金属硫属化物。
15.根据权利要求13所述的构造,其中所述沟道区材料包括过渡金属二硫属化物及/或过渡金属三硫属化物。
16.根据权利要求13所述的构造,其中所述沟道区材料包括选自由硫化钼、硒化钼、硫化钨、硒化钨、硫化铟及硒化铟组成的所述群组的一或多个硫属化物。
17.根据权利要求13所述的构造,其具有沿着垂直面的镜像对称使得所述沟道区材料及绝缘材料沿着所述构造的两个相对侧面。
18.根据权利要求13所述的构造,其不具有沿着垂直面的镜像对称。
19.根据权利要求13所述的构造,其中所述沟道区材料整体沿着所述底部源极/漏极区的所述侧壁延伸。
20.根据权利要求13所述的构造,其中所述沟道区材料整体沿着所述顶部源极/漏极区的所述侧壁延伸。
21.根据权利要求13所述的构造,其中所述沟道区材料整体沿着所述顶部部分及所述底部源极/漏极区的所述侧壁延伸。
22.一种形成晶体管的方法,其包括:
形成堆叠,所述堆叠以递升顺序包括数字线材料、第一源极/漏极区材料、第一绝缘材料、栅极材料、第二绝缘材料及第二源极/漏极材料;
将所述第一绝缘材料、栅极材料、第二绝缘材料及第二源极/漏极材料图案化为柱;
沿着所述柱的侧壁形成栅极电介质材料,所述栅极电介质材料沿着所述栅极材料且非沿着所述第二源极/漏极材料;
在蚀刻穿过所述漏极区材料期间将所述柱用作掩模;所述蚀刻将所述漏极区材料形成为所述柱下方的台座;
沿着所述柱的侧壁及台座形成沟道区材料,且在所述柱与台座之间的所述数字线材料区域上留有间隙,所述沟道区材料具有从1单分子层到7单分子层的厚度;以及
使用第三绝缘材料填充所述间隙且平坦化跨所述第二源极/漏极材料、沟道区材料及第三绝缘材料的顶部表面。
23.根据权利要求22所述的方法,其中所述柱内的栅极材料沿着字线方向延伸,且进一步包括沿着所述字线方向图案化所述沟道材料。
24.根据权利要求22所述的方法,其中所述沟道区材料包括过渡金属硫属化物。
25.根据权利要求22所述的方法,其中所述沟道区材料包括过渡金属二硫属化物及/或过渡金属三硫属化物。
26.根据权利要求22所述的方法,其中所述沟道区材料包括选自由硫化钼、硒化钼、硫化钨、硒化钨、硫化铟及硒化铟组成的所述群组的一或多个硫属化物。
27.根据权利要求22所述的方法,其中所述沟道区材料在小于或等于约750℃的温度下沉积。
28.根据权利要求22所述的方法,其中在图案化为所述柱期间将所述顶部源极/漏极材料图案化为顶部源极/漏极区,且进一步包括形成电耦合到所述顶部源极/漏极区的存储器单元。
29.根据权利要求22所述的方法,其中在图案化为所述柱期间将所述顶部源极/漏极材料图案化为顶部源极/漏极区,且进一步包括形成电耦合到所述顶部源极/漏极区的电荷存储装置。
CN201480075413.4A 2014-01-10 2014-12-03 场效应晶体管构造及存储器阵列 Active CN105981177B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US14/152,664 2014-01-10
US14/152,664 US9076686B1 (en) 2014-01-10 2014-01-10 Field effect transistor constructions and memory arrays
US14/519,021 US9276134B2 (en) 2014-01-10 2014-10-20 Field effect transistor constructions and memory arrays
US14/519,021 2014-10-20
PCT/US2014/068287 WO2015105599A1 (en) 2014-01-10 2014-12-03 Field effect transistor constructions and memory arrays

Publications (2)

Publication Number Publication Date
CN105981177A true CN105981177A (zh) 2016-09-28
CN105981177B CN105981177B (zh) 2019-03-29

Family

ID=53522062

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201480075413.4A Active CN105981177B (zh) 2014-01-10 2014-12-03 场效应晶体管构造及存储器阵列

Country Status (7)

Country Link
US (2) US9276134B2 (zh)
EP (1) EP3092660B1 (zh)
JP (1) JP6280229B2 (zh)
KR (1) KR101840157B1 (zh)
CN (1) CN105981177B (zh)
TW (1) TWI539580B (zh)
WO (1) WO2015105599A1 (zh)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110010611A (zh) * 2017-12-22 2019-07-12 美光科技公司 导电结构、在导电结构上具有垂直堆叠的存储器单元的组合件及导电结构形成方法
CN111009526A (zh) * 2018-10-04 2020-04-14 美光科技公司 集成组合件及形成集成组合件的方法
CN111527603A (zh) * 2017-12-28 2020-08-11 美光科技公司 凹入式存取装置及dram构造
CN111527601A (zh) * 2017-12-29 2020-08-11 美光科技公司 含有与nmos平台垂直集成的pmos平台的组合件,及形成集成组合件的方法
CN112242393A (zh) * 2019-07-19 2021-01-19 力晶积成电子制造股份有限公司 电路架构
WO2022000344A1 (zh) * 2020-06-30 2022-01-06 华为技术有限公司 存储器及其制造方法
CN116230764A (zh) * 2022-03-30 2023-06-06 北京超弦存储器研究院 场效应管、存储器及其制备方法
US11695077B2 (en) 2018-02-06 2023-07-04 Micron Technology, Inc. Memory cell comprising a transistor that comprises a pair of insulator-material regions and an array of transistors

Families Citing this family (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9245742B2 (en) 2013-12-18 2016-01-26 Asm Ip Holding B.V. Sulfur-containing thin films
US9276134B2 (en) * 2014-01-10 2016-03-01 Micron Technology, Inc. Field effect transistor constructions and memory arrays
US9263577B2 (en) 2014-04-24 2016-02-16 Micron Technology, Inc. Ferroelectric field effect transistors, pluralities of ferroelectric field effect transistors arrayed in row lines and column lines, and methods of forming a plurality of ferroelectric field effect transistors
US9711396B2 (en) * 2015-06-16 2017-07-18 Asm Ip Holding B.V. Method for forming metal chalcogenide thin films on a semiconductor device
US9741815B2 (en) 2015-06-16 2017-08-22 Asm Ip Holding B.V. Metal selenide and metal telluride thin films for semiconductor device applications
US10026751B2 (en) 2015-10-02 2018-07-17 Samsung Electronics Co., Ltd. Semiconductor device including a repeater/buffer at higher metal routing layers and methods of manufacturing the same
US9754933B2 (en) 2015-12-30 2017-09-05 International Business Machines Corporation Large area diode co-integrated with vertical field-effect-transistors
US9490335B1 (en) 2015-12-30 2016-11-08 International Business Machines Corporation Extra gate device for nanosheet
US9805935B2 (en) 2015-12-31 2017-10-31 International Business Machines Corporation Bottom source/drain silicidation for vertical field-effect transistor (FET)
US10854591B2 (en) 2016-11-04 2020-12-01 Samsung Electronics Co., Ltd. Semiconductor device including a repeater/buffer at upper metal routing layers and methods of manufacturing the same
US10424728B2 (en) * 2017-08-25 2019-09-24 Micron Technology, Inc. Self-selecting memory cell with dielectric barrier
US10297290B1 (en) * 2017-12-29 2019-05-21 Micron Technology, Inc. Semiconductor devices, and related control logic assemblies, control logic devices, electronic systems, and methods
US11121258B2 (en) * 2018-08-27 2021-09-14 Micron Technology, Inc. Transistors comprising two-dimensional materials and related semiconductor devices, systems, and methods
US10629732B1 (en) * 2018-10-09 2020-04-21 Micron Technology, Inc. Elevationally-extending transistors, devices comprising elevationally-extending transistors, and methods of forming a device comprising elevationally-extending transistors
US10615288B1 (en) 2018-10-24 2020-04-07 International Business Machines Corporation Integration scheme for non-volatile memory on gate-all-around structure
US11670588B2 (en) * 2019-01-09 2023-06-06 Intel Corporation Selectable vias for back end of line interconnects
US10804274B2 (en) 2019-02-27 2020-10-13 International Business Machines Corporation Co-integration of non-volatile memory on gate-all-around field effect transistor
JP2021048224A (ja) * 2019-09-18 2021-03-25 キオクシア株式会社 不揮発性記憶装置
US11217589B2 (en) * 2019-10-04 2022-01-04 Nanya Technology Corporation Semiconductor device and method of manufacturing the same
US11164907B2 (en) 2020-03-11 2021-11-02 International Business Machines Corporation Resistive random access memory integrated with stacked vertical transistors
EP3882978A1 (en) * 2020-03-16 2021-09-22 Samsung Electronics Co., Ltd. Vertical type transistor, inverter including the same, and vertical type semiconductor device including the same
US11527623B2 (en) * 2020-07-28 2022-12-13 Micron Technology, Inc. Integrated assemblies and methods of forming integrated assemblies
US11777036B2 (en) 2020-08-27 2023-10-03 Micron Technology, Inc. Integrated assemblies and methods of forming integrated assemblies
US11889680B2 (en) 2020-08-28 2024-01-30 Micron Technology, Inc. Integrated assemblies and methods of forming integrated assemblies
US11393920B2 (en) 2020-09-28 2022-07-19 Micron Technology, Inc. Integrated assemblies and methods of forming integrated assemblies
US20220310655A1 (en) * 2021-03-29 2022-09-29 Sandisk Technologies Llc Memory device including a ferroelectric semiconductor channel and methods of forming the same

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080182358A1 (en) * 2007-01-26 2008-07-31 Cowdery-Corvan Peter J Process for atomic layer deposition
US20090029513A1 (en) * 2007-07-27 2009-01-29 Stmicroelectronics, Inc. Vertical quadruple conduction channel insulated gate transistor
US20110012085A1 (en) * 2007-09-24 2011-01-20 International Business Machines Corporation Methods of manufacture of vertical nanowire fet devices
US20120052640A1 (en) * 2010-08-31 2012-03-01 Mark Fischer Methods Of Forming Pluralities Of Vertical Transistors, And Methods Of Forming Memory Arrays
US20120292686A1 (en) * 2007-11-08 2012-11-22 Samsung Electronics Co., Ltd. Vertical-type non-volatile memory devices and methods of manufacturing the same

Family Cites Families (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0691216B2 (ja) * 1986-01-22 1994-11-14 日本電気株式会社 半導体記憶装置
JP3146045B2 (ja) * 1992-01-06 2001-03-12 株式会社東芝 半導体装置及びその製造方法
JPH0982969A (ja) * 1995-09-12 1997-03-28 Toshiba Corp 薄膜トランジスタおよび液晶表示装置
US6288431B1 (en) 1997-04-04 2001-09-11 Nippon Steel Corporation Semiconductor device and a method of manufacturing the same
US6370056B1 (en) 2000-03-10 2002-04-09 Symetrix Corporation Ferroelectric memory and method of operating same
KR100747369B1 (ko) 1999-09-30 2007-08-07 로무 가부시키가이샤 불휘발성 메모리
US6448601B1 (en) * 2001-02-09 2002-09-10 Micron Technology, Inc. Memory address and decode circuits with ultra thin body transistors
US6717215B2 (en) 2001-06-21 2004-04-06 Hewlett-Packard Development Company, L.P. Memory structures
JP2003289134A (ja) 2002-03-28 2003-10-10 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
JP2004040059A (ja) 2002-07-08 2004-02-05 Fujitsu Ltd 半導体記憶装置の製造方法および半導体記憶装置
JP4070659B2 (ja) * 2003-04-23 2008-04-02 シャープ株式会社 電界効果トランジスタの製造方法
US6897472B2 (en) 2003-06-26 2005-05-24 Rj Mears, Llc Semiconductor device including MOSFET having band-engineered superlattice
KR100578212B1 (ko) 2003-06-30 2006-05-11 주식회사 하이닉스반도체 엠티피 구조의 강유전체 캐패시터 및 그 제조 방법
US7242041B2 (en) * 2003-09-22 2007-07-10 Lucent Technologies Inc. Field-effect transistors with weakly coupled layered inorganic semiconductors
KR100626912B1 (ko) 2004-04-23 2006-09-20 주식회사 하이닉스반도체 불휘발성 강유전체 수직 전극 셀과 수직 전극 셀을 이용한불휘발성 강유전체 메모리 장치 및 그 수직 전극 셀 제조방법
US6995025B2 (en) 2004-06-21 2006-02-07 Sharp Laboratories Of America, Inc. Asymmetrical programming ferroelectric memory transistor
US7180141B2 (en) 2004-12-03 2007-02-20 Texas Instruments Incorporated Ferroelectric capacitor with parallel resistance for ferroelectric memory
US8937292B2 (en) 2011-08-15 2015-01-20 Unity Semiconductor Corporation Vertical cross point arrays for ultra high density memory applications
US7982252B2 (en) 2006-01-27 2011-07-19 Hynix Semiconductor Inc. Dual-gate non-volatile ferroelectric memory
US7842990B2 (en) 2006-02-17 2010-11-30 Hynix Semiconductor Inc. Nonvolatile ferroelectric memory device including trench capacitor
WO2008073529A2 (en) 2006-07-31 2008-06-19 Drexel University Integrated semiconductor and transition-metal oxide nanostructures and methods for preparing same
KR100807221B1 (ko) * 2006-08-22 2008-02-28 삼성전자주식회사 불휘발성 메모리 장치 및 그 제조 방법
JP4535076B2 (ja) 2007-03-14 2010-09-01 セイコーエプソン株式会社 強誘電体キャパシタとその製造方法
JP2009170511A (ja) 2008-01-11 2009-07-30 Toshiba Corp 半導体素子及び半導体装置
US8394683B2 (en) * 2008-01-15 2013-03-12 Micron Technology, Inc. Methods of forming semiconductor constructions, and methods of forming NAND unit cells
JP5162276B2 (ja) 2008-02-28 2013-03-13 ローム株式会社 強誘電体メモリ装置
US8304823B2 (en) 2008-04-21 2012-11-06 Namlab Ggmbh Integrated circuit including a ferroelectric memory cell and method of manufacturing the same
US20100110753A1 (en) 2008-10-31 2010-05-06 Qimonda Ag Ferroelectric Memory Cell Arrays and Method of Operating the Same
US8362604B2 (en) 2008-12-04 2013-01-29 Ecole Polytechnique Federale De Lausanne (Epfl) Ferroelectric tunnel FET switch and memory
US8021897B2 (en) 2009-02-19 2011-09-20 Micron Technology, Inc. Methods of fabricating a cross point memory array
JP2010238873A (ja) * 2009-03-31 2010-10-21 Panasonic Corp フレキシブル半導体装置およびその製造方法
US8173987B2 (en) 2009-04-27 2012-05-08 Macronix International Co., Ltd. Integrated circuit 3D phase change memory array and manufacturing method
US7968876B2 (en) 2009-05-22 2011-06-28 Macronix International Co., Ltd. Phase change memory cell having vertical channel access transistor
CN102074562B (zh) 2009-11-25 2012-08-29 中国科学院微电子研究所 Nand结构及其形成方法
US8198160B2 (en) 2010-04-19 2012-06-12 Jun Liu Vertical transistor phase change memory
US8674748B2 (en) * 2011-01-07 2014-03-18 Eastman Kodak Company Actuating transistor including multi-layer reentrant profile
KR20120124788A (ko) 2011-05-04 2012-11-14 삼성전자주식회사 반도체 소자
US8575584B2 (en) 2011-09-03 2013-11-05 Avalanche Technology Inc. Resistive memory device having vertical transistors and method for making the same
US9252188B2 (en) 2011-11-17 2016-02-02 Micron Technology, Inc. Methods of forming memory cells
US20130193400A1 (en) * 2012-01-27 2013-08-01 Micron Technology, Inc. Memory Cell Structures and Memory Arrays
US9093304B2 (en) 2012-10-12 2015-07-28 Finscale Inc. Vertical super-thin body semiconductor on dielectric wall devices and methods of their fabrication
US9053801B2 (en) 2012-11-30 2015-06-09 Micron Technology, Inc. Memory cells having ferroelectric materials
US9337210B2 (en) 2013-08-12 2016-05-10 Micron Technology, Inc. Vertical ferroelectric field effect transistor constructions, constructions comprising a pair of vertical ferroelectric field effect transistors, vertical strings of ferroelectric field effect transistors, and vertical strings of laterally opposing pairs of vertical ferroelectric field effect transistors
US9276134B2 (en) 2014-01-10 2016-03-01 Micron Technology, Inc. Field effect transistor constructions and memory arrays
US9076686B1 (en) * 2014-01-10 2015-07-07 Micron Technology, Inc. Field effect transistor constructions and memory arrays
US9263577B2 (en) 2014-04-24 2016-02-16 Micron Technology, Inc. Ferroelectric field effect transistors, pluralities of ferroelectric field effect transistors arrayed in row lines and column lines, and methods of forming a plurality of ferroelectric field effect transistors
US9472560B2 (en) 2014-06-16 2016-10-18 Micron Technology, Inc. Memory cell and an array of memory cells

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080182358A1 (en) * 2007-01-26 2008-07-31 Cowdery-Corvan Peter J Process for atomic layer deposition
US20090029513A1 (en) * 2007-07-27 2009-01-29 Stmicroelectronics, Inc. Vertical quadruple conduction channel insulated gate transistor
US20110012085A1 (en) * 2007-09-24 2011-01-20 International Business Machines Corporation Methods of manufacture of vertical nanowire fet devices
US20120292686A1 (en) * 2007-11-08 2012-11-22 Samsung Electronics Co., Ltd. Vertical-type non-volatile memory devices and methods of manufacturing the same
US20120052640A1 (en) * 2010-08-31 2012-03-01 Mark Fischer Methods Of Forming Pluralities Of Vertical Transistors, And Methods Of Forming Memory Arrays

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110010611A (zh) * 2017-12-22 2019-07-12 美光科技公司 导电结构、在导电结构上具有垂直堆叠的存储器单元的组合件及导电结构形成方法
CN111527603A (zh) * 2017-12-28 2020-08-11 美光科技公司 凹入式存取装置及dram构造
CN111527601A (zh) * 2017-12-29 2020-08-11 美光科技公司 含有与nmos平台垂直集成的pmos平台的组合件,及形成集成组合件的方法
US11735479B2 (en) 2017-12-29 2023-08-22 Micron Technology, Inc. Assemblies containing PMOS decks vertically-integrated with NMOS decks, and methods of forming integrated assemblies
US11695077B2 (en) 2018-02-06 2023-07-04 Micron Technology, Inc. Memory cell comprising a transistor that comprises a pair of insulator-material regions and an array of transistors
CN111009526A (zh) * 2018-10-04 2020-04-14 美光科技公司 集成组合件及形成集成组合件的方法
CN112242393A (zh) * 2019-07-19 2021-01-19 力晶积成电子制造股份有限公司 电路架构
CN112242393B (zh) * 2019-07-19 2024-03-26 力晶积成电子制造股份有限公司 电路架构
WO2022000344A1 (zh) * 2020-06-30 2022-01-06 华为技术有限公司 存储器及其制造方法
CN116230764A (zh) * 2022-03-30 2023-06-06 北京超弦存储器研究院 场效应管、存储器及其制备方法
WO2023184927A1 (zh) * 2022-03-30 2023-10-05 北京超弦存储器研究院 场效应管、存储器及其制备方法、半导体阵列和晶体管
CN116230764B (zh) * 2022-03-30 2024-03-15 北京超弦存储器研究院 场效应管、存储器及其制备方法

Also Published As

Publication number Publication date
TW201535679A (zh) 2015-09-16
EP3092660A1 (en) 2016-11-16
US9276134B2 (en) 2016-03-01
US20160141336A1 (en) 2016-05-19
KR101840157B1 (ko) 2018-03-19
US20150200308A1 (en) 2015-07-16
WO2015105599A1 (en) 2015-07-16
EP3092660B1 (en) 2018-03-28
US9450024B2 (en) 2016-09-20
TWI539580B (zh) 2016-06-21
EP3092660A4 (en) 2016-12-21
CN105981177B (zh) 2019-03-29
JP6280229B2 (ja) 2018-02-14
JP2017508277A (ja) 2017-03-23
KR20160104721A (ko) 2016-09-05

Similar Documents

Publication Publication Date Title
CN105981177A (zh) 场效应晶体管构造及存储器阵列
US10847528B2 (en) Memory cell structure of a three-dimensional memory device
CN106796957B (zh) 晶体管及形成晶体管的方法
US10636802B2 (en) Two-terminal non-volatile memristor and memory
TWI686931B (zh) 三維記憶體陣列及其形成方法
KR20110118676A (ko) 크로스-포인트 메모리 구조체, 및 메모리 어레이 형성 방법
CN104241294A (zh) 一种非易失性三维半导体存储器及其制备方法
US9647035B2 (en) Non-volatile resistive random access memory crossbar devices with maximized memory element density and methods of forming the same
US9812641B2 (en) Non-volatile memory device and methods for fabricating the same
CN114388511A (zh) 剩余极化电容结构、存储器单元及其方法
CN104269407B (zh) 一种非易失性高密度三维半导体存储器件及其制备方法
US8835898B2 (en) Self-aligned process to fabricate a memory cell array with a surrounding-gate access transistor
US8395140B2 (en) Cross-point memory utilizing Ru/Si diode
US20130200330A1 (en) Self-aligned process to fabricate a memory cell array with a surrounding-gate access transistor
CN104969350A (zh) 半导体构造及形成存储器单元的方法
CN204130534U (zh) 一种非易失性高密度三维半导体存储器件
US20230337419A1 (en) One-time-programmable memory devices
US8592250B2 (en) Self-aligned process to fabricate a memory cell array with a surrounding-gate access transistor

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant