CN105304556B - 接触结构及其形成方法 - Google Patents

接触结构及其形成方法 Download PDF

Info

Publication number
CN105304556B
CN105304556B CN201410566325.6A CN201410566325A CN105304556B CN 105304556 B CN105304556 B CN 105304556B CN 201410566325 A CN201410566325 A CN 201410566325A CN 105304556 B CN105304556 B CN 105304556B
Authority
CN
China
Prior art keywords
layer
opening
dielectric layer
contact
contact area
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201410566325.6A
Other languages
English (en)
Other versions
CN105304556A (zh
Inventor
林瑀宏
林圣轩
张志维
周友华
许嘉麟
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN105304556A publication Critical patent/CN105304556A/zh
Application granted granted Critical
Publication of CN105304556B publication Critical patent/CN105304556B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02529Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02576N-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53214Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being aluminium
    • H01L23/53223Additional layers associated with aluminium layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)

Abstract

本发明的实施例包括接触结构及其形成方法。一个实施例是形成半导体器件的方法,该方法包括:在衬底上方形成接触区域;在接触区域和衬底上方形成介电层;以及形成穿过介电层的开口以暴露接触区域的一部分。该方法还包括:在接触区域的暴露部分上以及沿着开口的侧壁形成金属硅化物层;以及用导电材料填充开口以在介电层中形成导电插塞,导电插塞电连接至接触区域。

Description

接触结构及其形成方法
技术领域
本发明总体涉及半导体领域,更具体地,涉及半导体器件的接触结构以及形成方法。
背景技术
半导体器件用于各种电子应用中,例如,诸如个人电脑、手机、数码相机和其他电子设备。通常通过在半导体衬底上方依次沉积绝缘层或介电层、导电层和半导电材料层,然后使用光刻来图案化各个材料层以在其上形成电路部件和元件来制造半导体器件。
半导体产业通过不断减小最小特征尺寸来不断提高各种电子部件(例如,晶体管、二极管、电阻器、电容器等)的集成度,这使得更多的部件集成到给定的区域内。
在半导体器件中使用诸如金属或半导体的导电材料以制造集成电路的电连接件。随着器件的尺寸的减小,对导体和绝缘体的需求已经改变。
发明内容
根据本发明的一个方面,提供了一种形成半导体器件的方法,该方法包括:在衬底上方形成接触区域;在接触区域和衬底上方形成介电层;形成穿过介电层的开口以暴露接触区域的一部分;在接触区域的暴露部分上以及沿着开口的侧壁形成金属硅化物层;以及用导电材料填充开口以在介电层中形成接触插塞,接触插塞电连接至接触区域。
优选地,形成金属硅化物层包括:沿着接触区域的暴露部分、开口的侧壁以及在介电层上方形成含硅覆盖层;在开口中和介电层上方的含硅覆盖层上形成金属层;在开口中和介电层上方的金属层上形成粘合层;以及实施硅化工艺以使含硅覆盖层的至少一部分与金属层反应从而形成金属硅化物层。
优选地,含硅覆盖层包括硅、锗、硅锗、碳化硅、磷化硅或它们的组合。
优选地,金属层包括镍、钴、钛、钨或它们的组合。
优选地,粘合层包括氮化钛、氮化钽或它们的组合。
优选地,实施硅化工艺包括:在约100℃至约900℃的温度下、包括Ar、N2或它们的组合的工艺气体并且在约770托至约1000托的压力下对衬底实施退火工艺。
优选地,在接触区域的暴露部分上以及沿着开口的侧壁形成金属硅化物层消耗掉接触区域的至少一部分。
优选地,该方法还包括:平坦化导电材料以形成导电插塞,其中,在平坦化步骤之后,导电插塞的顶面与介电层的顶面基本上共面。
优选地,衬底是鳍式场效应晶体管(FinFET)的半导体鳍,而接触区域是FinFET的源/漏极区,并且形成接触区域包括:在半导体鳍中蚀刻凹槽;在凹槽中外延生长半导体材料;以及以至少一种掺杂剂掺杂半导体材料从而形成源/漏极区。
优选地,接触区域包括硅、硅锗、磷化硅、碳化硅或它们的组合。
优选地,金属硅化物层基本沿着开口的侧壁从接触区域的顶面延伸至介电层的顶面。
优选地,金属硅化物层具有沿着接触区域的第一厚度和沿着开口的侧壁的第二厚度,第一厚度在约30埃至约之间,而第二厚度在约至约之间。
根据本发明的另一方面,提供了一种形成接触结构的方法,该方法包括:在衬底上方形成接触层;在接触层和衬底上方沉积介电层;图案化介电层以形成穿过介电层的开口,接触层的至少一部分暴露在开口中;沿着暴露的接触层和介电层的侧壁在开口中以及在介电层上方沉积覆盖层;在开口中和介电层上方的覆盖层上沉积金属层;在开口中和介电层上方的金属层上沉积粘合层;以及在沉积粘合层之后,对覆盖层和金属层进行退火以沿着接触层和介电层的侧壁在开口中以及在介电层上方形成金属硅化物层。
优选地,该方法还包括:在开口中和介电层上方的粘合层上填充导电材料;以及实施平坦化工艺以在介电层中形成导电插塞,平坦化工艺去除位于介电层上方的导电材料、粘合层和金属硅化物层。
优选地,在对覆盖层和金属层实施退火以形成金属硅化物层之后,金属层的一部分保持未反应并且介于在金属硅化物层和粘合层之间。
优选地,金属层中保持未反应的部分的厚度在约3埃至约之间。
优选地,覆盖层具有与接触层基本上相同的材料组成。
根据本发明的又一方面,提供了一种形成接触结构的方法,该方法包括:在衬底中形成接触区域;在接触区域上方形成介电层;在介电层中形成开口以至少暴露接触区域的表面;沿着接触区域的暴露表面和开口的侧壁共形地沉积含硅覆盖层;在开口中的含硅覆盖层上共形地沉积金属层;在开口中的金属层上共形地沉积粘合层;以及对含硅覆盖层和金属层进行退火以沿着接触区域和介电层的侧壁在开口中形成金属硅化物层。
优选地,在开口中的金属层上共形地沉积粘合层的步骤之后,实施对含硅覆盖层和金属层进行退火的步骤。
优选地,金属硅化物层具有延伸在接触区域的顶面下方的表面。
附图说明
当结合附图进行阅读时,从以下详细描述可最佳理解本发明的各方面。应该注意,根据工业中的标准实践,各个部件未按比例绘制。实际上,为了清楚的讨论,各个部件的尺寸可以任意地增大或减小。
图1示出了根据一些实施例的半导体器件的截面图。
图2A至图2G是根据一些实施例的在半导体器件的制造中的中间阶段的截面图。
图3示出了根据一些实施例的另一半导体器件的截面图。
图4示出了根据一些实施例的在图2A至图2G中示出的工艺的工艺流程图。
具体实施方式
以下公开内容提供了许多用于实现所提供主题的不同特征的不同实施例或实例。下面描述了部件和布置的具体实例以简化本发明。当然,这些仅仅是实例,而不旨在限制本发明。例如,在以下描述中,在第二部件上方或者上形成第一部件可以包括第一部件和第二部件以直接接触的方式形成的实施例,并且也可以包括在第一部件和第二部件之间可以形成额外的部件,从而使得第一部件和第二部件可以不直接接触的实施例。此外,本发明可在各个实例中重复参考标号和/或字符。该重复是为了简单和清楚的目的,并且其本身不指示所讨论的各个实施例和/或配置之间的关系。
而且,为便于描述,在本文中可以使用诸如“在…之下”、“在…下方”、“下部”、“在…之上”、“上部”等的空间相对位置术语,以描述如图所示的一个元件或部件与另一个(或另一些)元件或部件的关系。除了图中所示的方位外,空间相对位置术语旨在包括器件在使用或操作中的不同方位。装置可以以其他方式定向(旋转90度或在其他方位上),而本文中使用的空间相对位置描述符可以同样地作出相应的解释。
通常而言,使用本发明的实施例,半导体器件可以利用具有改进的工艺稳定性的低电阻硅化物接触件。具体地,本发明在形成接触开口之后在接触开口中形成硅化物,而不是在形成接触件之前形成硅化物。在该工艺中,在接触开口内形成覆盖层并且在覆盖层上方形成金属层。然后对这些层进行退火以形成硅化物层。通过在形成接触开口之后形成覆盖层,覆盖层性能不受接触开口蚀刻工艺、介电层形成或在形成覆盖层之前实施的任何其他工艺的影响。这改进了用于形成覆盖层的处理窗口并且也改进了用于形成接触件的工艺稳定性。此外,覆盖层可以修复由蚀刻接触开口导致的损坏。
图1示出了根据一些实施例的半导体器件100的截面图。半导体器件100包括衬底20、有源或无源器件22、接触层24、介电层26和接触结构50。接触结构包括金属硅化物层40、未反应的金属层32’、粘合层34和导电插塞42’。
衬底20可以是晶圆的一部分,并且可以包括诸如硅、锗、金刚石等的半导体材料。可选地,诸如硅锗、碳化硅、砷化镓、砷化铟、磷化铟、碳化硅锗、磷砷化镓、磷化铟镓和这些的组合等的化合物材料也可以使用。此外,衬底20可以包括绝缘体上硅(SOI)衬底。通常,SOI衬底包括半导体材料(诸如,外延硅、锗、锗硅、SOI、绝缘体上硅锗(SGOI)或它们的组合)层。如本领域所知,衬底20可以掺杂有诸如硼、铝或镓等的p型掺杂剂,但是该衬底可以可选地掺杂有n型掺杂剂。
衬底20可以包括有源和无源器件22。作为本领域普通技术人员会认识到,诸如晶体管、电容器、电阻器和它们的组合等的各种器件可用于生成半导体器件100的设计的结构和功能需求。有源和无源器件22可以使用任何合适的方法形成。在图中仅示出了衬底20的一部分,因为这足以充分描述说明性实施例。
在衬底20上方形成接触层24。接触层24可以包括位于衬底20上方的掺杂区。在一些实施例中,在衬底20的顶面上或中直接形成接触层24。在接触层24上方形成介电层26。介电层26可以由诸如氧化硅的氧化物、低k介电质、聚合物等或它们的组合形成。
接触结构50形成为延伸穿过介电层26到达接触层24。可以在介电层26中的开口中形成接触结构50(见下文论述的图2A至图2F)。接触结构50包括直接邻接接触层24和介电层26的金属硅化物层40。金属硅化物层40提供与接触层24的低电阻接触以及与接触层24的良好的粘合性。在一些实施例中,金属硅化物层40基本上沿着接触结构50的整个侧壁从接触层24延伸至介电层26的顶面26A。由于在硅化工艺期间可能会消耗一些接触层24以形成金属硅化物层40,所以金属硅化物层40的部分40A的表面可以延伸为低于接触层24的顶面24A。
未反应的金属层32’位于金属硅化物层40上。未反应的金属层32’是在形成金属硅化物层40期间未被消耗的金属层。在一些实施例中,因为基本上整个金属层都在形成金属硅化物层40期间被消耗,所以不存在未反应的金属层32’。在未反应的金属层32’上形成粘合层34,粘合层34改进了随后形成的导电插塞42’之间的粘合性,并且还防止了未反应的金属层32’(以及例如在硅化工艺之前的图2D中的金属层32)的氧化。
导电插塞42’形成在粘合层34上并且可以基本上填充介电层26中的开口的剩余部分。在一些实施例中,该导电插塞42’具有与介电层26的顶面26A基本共平面的顶面42A。
图2A至图2G是根据一些实施例的制造半导体器件100的中间阶段的截面图,而图4是图2A至图2G中示出的工艺的工艺流程图。参考图2A,示出了处于处理的中间阶段的包括接触层24、介电层26和开口28的半导体器件100。虽然在图2A中未示出,但是接触层24可以形成在衬底20上方或上(步骤302)。
接触层24可以包括位于衬底20上方的掺杂区。接触层可以由硅、硅锗、磷化硅、碳化硅等或它们的组合形成。接触层24可以从衬底20处或从一些其他中间结构处外延生长。在一些实施例中,在形成在衬底20中的凹槽中外延生长接触层24。在其他实施例中,通过利用注入工艺掺杂衬底20的一部分来形成接触层24。例如,接触层24可以是晶体管的源极区或漏极区。
在接触层24上方形成介电层26(步骤304)。介电层26可以由氧化物(诸如,氧化硅、硼磷硅酸盐玻璃(BPSG)、未掺杂的硅酸盐玻璃(USG)、氟硅酸盐玻璃(FSG))、低k介电质(诸如,碳掺杂的氧化物)、极低k介电质(诸如,多孔碳掺杂的二氧化硅)、聚合物(诸如,聚酰亚胺)等或它们的组合形成。低k介电材料可以具有低于3.9的k值。介电层26可以通过化学汽相沉积(CVD)、物理汽相沉积(PVD)、原子层沉积(ALD)、旋涂介电质(SOD)工艺等或它们的组合来沉积。在一些实施例中,介电层26是层间介电质(ILD)。
在形成介电层26之后,可以形成穿过介电层26到达接触层24的顶面24A的开口28(步骤306)。例如,可以使用可接受的光刻和蚀刻技术(诸如,各向异性干蚀刻)来形成开口28。
在一些实施例中,开口的形成包括诸如光刻胶的掩蔽材料。在这些实施例中,可以在介电层上方沉积并且图案化光刻胶(未示出)。光刻胶可以包括诸如深紫外线(DUV)光刻胶的传统的光刻胶材料,并且可以例如通过采用布置光刻胶的旋涂工艺而沉积在介电层26的顶面上。然而,可以可选地利用形成或布置光刻胶的其他任何合适的材料或者方法。一旦已经形成光刻胶,光刻胶可以通过图案化的掩模板(reticle)暴露于能量(例如,光)从而导致光刻胶中暴露于能量的那些部分发生反应。然后可以使光刻胶显影,并且可以去除光刻胶的部分,从而在光刻胶中形成开口,并且通过开口暴露介电层26的部分顶面。在图案化光刻胶之后,可以图案化介电层26以形成开口28。
在形成开口28之后,可以实施可选的清洗工艺以去除由蚀刻工艺引起的位于接触层24上的原生氧化物或任何残留物(步骤308)。可以使用HCl溶液实施清洗工艺,并且清洗时间可以例如为约一分钟。在一些实施例中,通过将暴露的接触层24保持在真空或者不含氧或氧化剂的环境中,可以避免原生氧化物。
图2B示出了在介电层26和接触层24上方以及在开口28中形成覆盖层30(步骤310)。在一些实施例中,覆盖层30将基本上被随后形成金属硅化物层40的工艺消耗。覆盖层30可以修复由开口28的蚀刻所造成的对接触层24和介电层26的任何破坏。此外,覆盖层30可以增大接触结构50(见图2G)和介电层26之间的粘合性。
覆盖层30可以由硅、锗、硅锗、碳化硅、磷化硅等或它们的组合形成。在一些实施例中,覆盖层30具有与接触层基本相同的材料组成。例如,在接触层24由硅锗形成的实施例中,覆盖层30也由硅锗形成。
在接触层24是n型金属氧化物半导体场效应晶体管(NMOS)的一部分的实施例中,覆盖层30由硅、硅化磷、碳化硅等或它们的组合制成。在接触层24是p型金属氧化物半导体场效应晶体管(PMOS)的一部分的实施例中,覆盖层30由硅、锗、硅锗等或它们的组合制成。如图2B所示,覆盖层30包括邻接接触层24的部分30A、沿着开口28的侧壁延伸并且邻接介电层26的部分30B,以及在介电层26上方延伸并且邻接介电层26的部分30C。在一些实施例中,通过CVD、ALD、PVD等或它们的组合形成厚度为从约至约的覆盖层30。覆盖层30可以共形地沉积为沿着开口28的底部和侧壁以及在介电层26上方具有基本上均匀的厚度。
如图2C所示,在形成覆盖层30之后,在覆盖层30上方以及在开口中形成金属层32(步骤312)。在一些实施例中,金属层32将基本上被随后形成金属硅化物层40的工艺消耗。金属层32可以由镍、钴、钛、钨等或它们的组合形成。如图2C所示,金属层32包括位于开口底部的部分32A、沿着开口的侧壁延伸的的部分32B,以及在介电层26上方延伸的部分32C。在一些实施例中,通过PVD、ALD、溅射沉积等或它们的组合形成厚度为从约至约的金属层32。金属层32可以共形地沉积为沿着开口的底部和侧壁以及在介电层26上方具有基本上均匀的厚度。
如图2D所示,在形成金属层32之后,在金属层32上方以及在开口中形成粘合层34(步骤314)。粘合层34改进了随后形成的导电插塞42’(见图2G)之间的粘合性并且还防止金属层32的氧化。粘合层34可以由氮化钛、氮化钽等或它们的组合形成。如图2D中所示,粘合层34包括位于开口底部的部分34A、沿着开口的侧壁延伸的部分34B以及在介电层26上方延伸的部分34C。在一些实施例中,通过CVD、PVD、ALD等或它们的组合形成厚度为从约至约的粘合层34。粘合层34可以共形地沉积为沿着开口的底部和侧壁以及在介电层26上方具有基本上均匀的厚度。
图2E示出了由覆盖层30和金属层32形成金属硅化物层40的硅化工艺(步骤316)。金属硅化物层40的形成包括对半导体器件100实施退火工艺。退火工艺导致覆盖层30与金属层32反应以形成金属硅化物层40。在一些实施例中,使用热浸工艺、尖峰退火、快速退火、激光退火等或它们的组合来实施退火工艺。在一些实施例中,在约100℃至约900℃的温度下、在包括诸如Ar、N2等或它们的组合的工艺气体的大气环境中并且在约770托至约1000托的压力下实施退火工艺。
在一些实施例中,在形成金属硅化物层40之后,仍然存在未转化成金属硅化物层40的未反应的金属层32’。如图2E所示,金属硅化物层40包括位于开口底部并且邻接接触层24的部分40A、沿着开口的侧壁延伸并且邻接介电层26的部分40B以及在介电层26上方延伸并且邻接介电层26的部分40C。在一些实施例中,金属硅化物层40的底部40A的厚度为约至约而金属硅化物层40的侧壁部分40B的厚度为约至约
图2F示出了用导电材料42填充介电层26中的开口(步骤318)。在一些实施例中,导电材料42填充开口并且还延伸在介电层26上方。导电材料42将形成随后形成的导电插塞42’(见图2G)。在一些实施例中,导电材料42由钨形成。在可选实施例中,导电材料42包括其他金属或金属合金,诸如,铝、铜、氮化钛、氮化钽等或它们的组合。可以使用CVD、ALD、PVD、溅射等或它们的组合实施导电材料的形成。
在导电材料42延伸在介电层26上方的实施例中,可以对导电材料42实施平坦化工艺以形成如图2G所示的导电插塞42’(步骤320)。在一些实施例中,平坦化工艺是化学机械抛光(CMP)工艺、蚀刻工艺等或它们的组合。在平坦化工艺之后,导电插塞42’的顶面42A与介电层26的顶面26A基本共面。如图2G所示,金属硅化物层40、未反应的金属层32’(如果存在)、粘合层34以及导电插塞42’形成接触结构50。
图3示出了根据一些实施例的半导体器件200的截面图。半导体器件200包括在衬底202上形成的有源器件150。在示出的实施例中,有源器件是晶体管,但是其他实施例可以包括其他各种有源和无源器件,诸如,电阻器、电容器、电感器、二极管、变容二极管等或它们的组合。在实施例中,有源器件150是鳍式场效应晶体管(FinFET)。
衬底202可以是晶圆的一部分,并且可以包括诸如硅、锗或金刚石等的半导体材料。可选地,也可以使用诸如硅锗、碳化硅、砷化镓、砷化铟、磷化铟、碳化硅锗、磷砷化镓、磷化铟镓和这些的组合等的化合物材料。此外,衬底202可以包括SOI衬底。通常,SOI衬底包括半导体材料(诸如,外延的硅、锗、硅锗、SOI、SGOI或它们的组合)层。衬底202可以掺杂有p型掺杂剂,诸如,硼、铝或镓等,但是衬底可以可选地掺杂有本领域已知的n型掺杂剂。在图中仅示出了衬底202的一部分,因为这足以充分描述该说明性实施例。在一些实施例中,衬底202是从衬底延伸的半导体鳍。
有源器件150包括源/漏极区210、栅介质204、栅电极206、栅极间隔件208、介电层212以及接触结构50。有源器件150的形成可以开始于栅极介电层(未示出)和栅电极层(未示出)的形成。可以通过热氧化、CVD、溅射或用于形成栅介质的其他任何合适的方法来形成栅极介电层。在其他实施例中,栅极介电层包括具有高介电常数(k值,例如,大于3.9)的介电材料。该材料可以包括氮化硅、氮氧化物、诸如HfO2、HfZrOx、HfSiOx、HfTiOx和HfAlOx等的金属氧化物,以及它们的组合和多层。在另一实施例中,栅极介电层可以具有选自诸如氮化钛、氮化钽或氮化钼的金属氮化物材料的覆盖层。
可以在栅极介电层上方形成栅电极层(未示出)。栅电极层可以包括导电材料并且可以选自由多晶硅(多晶Si)、多晶硅锗(多晶SiGe)、金属氮化物、金属硅化物、金属氧化物和金属组成的组。金属氮化物的实例包括氮化钨、氮化钼、氮化钛和氮化钽等或它们的组合。金属硅化物的实例包括硅化钨、硅化钛、硅化钴、硅化镍、硅化铂、硅化铒等或它们的组合。金属氧化物的实例包括氧化钌、氧化铟锡等或它们的组合。金属的实例包括钨、钛、铝、铜、钼、镍、铂等或它们的组合。
可以通过CVD、溅射沉积或用于沉积导电材料的其他合适的技术来沉积栅电极层。栅电极层的厚度可以在约至约的范围内。在栅电极层的图案化或栅极蚀刻之前,该栅电极层的顶面通常具有非平坦的顶面,并且可以例如通过CMP工艺来平坦化。此时,例如,通过离子注入技术,可以将离子引入栅电极层内或可以不将离子引入栅电极层内。
在形成栅电极层之后,可以图案化栅电极层和栅极介电层以形成栅电极206和栅介质204。栅极图案化工艺可以包括使用可接受的沉积和光刻技术在栅电极层上沉积和图案化栅极掩模(未示出)。栅极掩模可包含常用的掩蔽材料,诸如(但不限于)光刻胶材料、氧化硅、氮氧化硅和/或氮化硅。如图3所示,可以使用等离子蚀刻来蚀刻栅电极层和栅极介电层以形成栅电极206和栅介质204。
在形成栅电极206和栅极介电质204之后,可以形成源/漏极区210。可以通过利用注入工艺将合适的掺杂剂注入以补充衬底202中的掺杂剂来掺杂衬底202的一部分,从而形成源/漏极区210。在衬底202注入有诸如硼、镓或铟等的p型掺杂剂的实施例中,源/漏极区210注入有诸如磷、砷或锑等的n型掺杂剂。源/漏极区210的注入可以使用栅电极206作为掩模。在一些实施例中,可以对掺杂的源/漏极区210实施退火以促进掺杂杂质扩散到衬底202内。
在另一实施例中,可以通过在衬底202中形成凹槽(未示出)并且在凹槽中外延生长材料来形成源/漏极区210。在实施例中,该凹槽可以通过各向异性蚀刻形成。可选地,凹槽可以通过各向同性取向依赖蚀刻工艺形成,其中,四甲基氢氧化铵(TMAH)等可用作蚀刻剂。在形成凹槽之后,可以通过在凹槽中外延生长材料来形成源/漏极区210。在外延工艺期间,可以将诸如HCl气体(作为蚀刻气体)的蚀刻气体加入到工艺气体中,从而在凹槽中而不是在栅电极206上选择性地生长源/漏极区210。在可选实施例中,不添加蚀刻气体,或蚀刻气体的量很小,从而在衬底202和栅电极206上形成有源/漏极区210的薄层。在又一个实施例中,栅电极206和衬底202可以覆盖有牺牲层(未示出)以防止在其上的外延生长。既可以通过如上论述的注入方法来掺杂源/漏极区210,也可以在生长材料的同时通过原位掺杂方法来掺杂源/漏极区210。
源/漏极区210的形成方法可以包括ALD、CVD(诸如,减压CVD(RPCVD)、金属有机化学汽相沉积(MOCVD))或其他合适的方法。根据源/漏极区210的期望的组成,用于外延生长的前体可以包括SiH4、GeH4、CH3和以及PH3等,并且调节含Si气体、含Ge气体、含C气体和含P气体的分压以调节锗/碳/磷与硅的原子比率。
在一些实施例中,形成源/漏极区210以对栅电极206下面的沟道区施加应变。在衬底202由硅形成的实施例中,可以利用诸如与硅具有不同的晶格常数的硅锗或硅碳等的材料,通过选择性外延生长(SEG)工艺来形成源/漏极区210。源/漏极区210中的应力源材料和形成在栅电极206下面的沟道区之间的晶格失配会使应力施加在沟道区内,这将增大载流子迁移率和器件的整体性能。既可以通过如上论述的注入方法来掺杂源/漏极区210,也可以在生长生长材料的同时通过原位掺杂来掺杂源/漏极区210。
可以通过在栅电极206和衬底202上方毯式沉积间隔件层(未示出)来形成栅极间隔件208。间隔件层可以包括SiN、氮氧化物、SiC、SiON、氧化物等并且可以通过用于形成这样的层的方法(诸如,CVD、等离子体增强CVD、溅射沉积等或它们的组合)来形成。然后优选地通过各向异性蚀刻来图案化栅极间隔件208以从栅电极206和衬底202的水平表面处去除间隔件层。
在一些实施例中,源/漏极区210包括轻掺杂区(未示出)和重掺杂区。在这个实施例中,在形成栅极间隔件208之前,可以轻掺杂源极/漏极区210。在形成栅极间隔件208之后,然后可以重掺杂源/漏极区210。这形成了轻掺杂区和重掺杂区。轻掺杂区主要位于栅极间隔件208下面,而重掺杂区沿衬底202位于栅极间隔件208外部。
在形成栅电极206、源/漏极区210和栅极间隔件208之后,形成介电层212。介电层212可以由氧化物(诸如,氧化硅、BPSG、USG、FSG)、低k介电质(诸如,碳掺杂的氧化物)、极低k介电质(诸如,多孔碳掺杂的二氧化硅)、聚合物(诸如,聚酰亚胺)等或它们的组合形成。低k介电材料可以具有低于3.9的k值。可通过CVD、PVD、ALD、SOD工艺等或它们的组合来沉积介电层212。介电层212也可称为ILD 212。
在形成介电层212之后,形成穿过介电层212的开口(未示出)以暴露源/漏极区210的一部分。例如,可使用可接受的光刻和蚀刻技术(诸如,各向异性干蚀刻)来形成开口。
在介电层212中形成开口之后,在开口中形成接触结构50。以与以上在图2A至图2G中描述的类似的方式形成接触结构50,因此本文中不再重复描述。接触结构50将源极/漏极区210电连接至上面的结构(未示出),诸如,导线/通孔和/或其他有源和无源器件。例如,可以在接触结构50和介电层212上方形成包括介电材料和导电材料的交替层的互连结构。接触结构50可以将源极/漏极区210电连接至该互连结构。
根据本发明的实施例,优势包括具有改进的工艺稳定性的低电阻硅化物接触件。具体地,本发明在形成接触开口之后在接触开口中形成硅化物而不是在形成接触件之前形成硅化物。在该工艺中,在接触开口内部形成覆盖层并且在覆盖层上方形成金属层。然后对这些层进行退火以形成硅化物层。通过在形成接触开口之后形成覆盖层,覆盖层性能不受接触开口蚀刻工艺、介电层形成或在形成覆盖层之前实施的其他任何工艺的影响。这改进了形成覆盖层的工艺窗,并且也改进了形成接触件的工艺的稳定性。此外,覆盖层可以修复由蚀刻接触开口而导致的破坏。
一个实施例是一种形成半导体器件的方法,该方法包括:在衬底上方形成接触区域;在接触区域和衬底上方形成介电层;以及形成穿过介电层的开口以暴露接触区域的一部分。该方法还包括:在接触区域的暴露部分上并且沿着开口的侧壁形成金属硅化物层;以及用导电材料填充开口以在介电层中形成导电插塞,该导电插塞电连接至接触区域。
另一个实施例是一种形成接触结构的方法,该方法包括:在衬底上方形成接触层;在接触层和衬底上方沉积介电层;图案化介电层以形成穿过介电层的开口,从而接触层的至少一部分暴露在开口中;以及沿着暴露的接触层和介电层的侧壁在开口中以及在介电层上方沉积覆盖层。该方法还包括:在开口中的覆盖层上以及在介电层上方沉积金属层;在开口中的金属层上以及在介电层上方沉积粘合层;以及在沉积粘合层之后,对覆盖层和金属层进行退火以沿着接触层和介电层的侧壁在开口中以及在介电层上方形成金属硅化物层。
又一个实施例是一种形成接触结构的方法,该方法包括:在衬底中形成接触区域;在接触区域上方形成介电层;在介电层中形成开口以至少暴露接触区域的表面;以及沿着接触区域的暴露表面和开口的侧壁共形地沉积含硅覆盖层。该方法还包括:在开口中的含硅覆盖层上共形地沉积金属层;在开口中的金属层上共形地沉积粘合层;以及对含硅覆盖层和金属层进行退火以沿着接触区域和介电层的侧壁在开口中形成金属硅化物层。
上面概述了若干实施例的特征,使得本领域技术人员可以更好地理解本发明的方面。本领域技术人员应该理解,他们可以容易地使用本发明作为基础来设计或修改用于实施与在本文介绍的实施例相同的目的和/或实现相同优势的其他工艺和结构。本领域技术人员也应该意识到,这种等同构造并不背离本发明的精神和范围,并且在不背离本发明的精神和范围的情况下,他们可以对本发明做出多种变化、替换以及改变。

Claims (19)

1.一种形成半导体器件的方法,所述方法包括:
在衬底上方形成接触区域;
在所述接触区域和所述衬底上方形成介电层;
形成穿过所述介电层的开口以暴露所述接触区域的一部分;
在所述接触区域的暴露部分上以及沿着所述开口的侧壁形成金属硅化物层,其中,形成所述金属硅化物层包括:
沿着所述接触区域的暴露部分、所述开口的侧壁以及在所述介电层上方形成含硅覆盖层;
在所述开口中和所述介电层上方的所述含硅覆盖层上形成金属层;
在所述开口中和所述介电层上方的金属层上形成粘合层;以及
实施硅化工艺以使所述含硅覆盖层的至少一部分与所述金属层反应从而形成所述金属硅化物层;以及
用导电材料填充所述开口的位于所述金属硅化物层和所述粘合层上方的剩余部分以在所述介电层中形成接触插塞,所述接触插塞电连接至所述接触区域。
2.根据权利要求1所述的方法,其中,所述含硅覆盖层包括硅、锗、硅锗、碳化硅、磷化硅或它们的组合。
3.根据权利要求1所述的方法,其中,所述金属层包括镍、钴、钛、钨或它们的组合。
4.根据权利要求1所述的方法,其中,所述粘合层包括氮化钛、氮化钽或它们的组合。
5.根据权利要求1所述的方法,其中,实施所述硅化工艺包括:
在100℃至900℃的温度下、包括Ar、N2或它们的组合的工艺气体并且在770托至1000托的压力下对所述衬底实施退火工艺。
6.根据权利要求1所述的方法,其中,在所述接触区域的暴露部分上以及沿着所述开口的侧壁形成所述金属硅化物层消耗掉所述接触区域的至少一部分。
7.根据权利要求1所述的方法,还包括:
平坦化所述导电材料以形成所述接触插塞,其中,在所述平坦化步骤之后,所述接触插塞的顶面与所述介电层的顶面共面。
8.根据权利要求1所述的方法,其中,所述衬底是鳍式场效应晶体管(FinFET)的半导体鳍,而所述接触区域是所述鳍式场效应晶体管的源/漏极区,并且形成所述接触区域包括:
在所述半导体鳍中蚀刻凹槽;
在所述凹槽中外延生长半导体材料;以及
以至少一种掺杂剂掺杂所述半导体材料从而形成所述源/漏极区。
9.根据权利要求1所述的方法,其中,所述接触区域包括硅、硅锗、磷化硅、碳化硅或它们的组合。
10.根据权利要求1所述的方法,其中,所述金属硅化物层沿着所述开口的侧壁从所述接触区域的顶面延伸至所述介电层的顶面。
11.根据权利要求1所述的方法,其中,所述金属硅化物层具有沿着所述接触区域的第一厚度和沿着所述开口的侧壁的第二厚度,所述第一厚度在之间,而所述第二厚度在之间。
12.一种形成接触结构的方法,所述方法包括:
在衬底上方形成接触层;
在所述接触层和所述衬底上方沉积介电层;
图案化所述介电层以形成穿过所述介电层的开口,所述接触层的至少一部分暴露在所述开口中;
沿着暴露的接触层和所述介电层的侧壁在所述开口中以及在所述介电层上方沉积覆盖层;
在所述开口中和所述介电层上方的所述覆盖层上沉积金属层;
在所述开口中和所述介电层上方的所述金属层上沉积粘合层;
在沉积所述粘合层之后,对所述覆盖层和所述金属层进行退火以沿着所述接触层和所述介电层的侧壁在所述开口中以及在所述介电层上方形成金属硅化物层;以及
在形成所述金属硅化物层之后,在所述开口中和所述介电层上方的所述粘合层上填充导电材料。
13.根据权利要求12所述的方法,还包括:
实施平坦化工艺以在所述介电层中形成导电插塞,所述平坦化工艺去除位于所述介电层上方的所述导电材料、所述粘合层和所述金属硅化物层。
14.根据权利要求12所述的方法,其中,在对所述覆盖层和所述金属层实施退火以形成所述金属硅化物层之后,所述金属层的一部分保持未反应并且介于在所述金属硅化物层和所述粘合层之间。
15.根据权利要求14所述的方法,其中,所述金属层中保持未反应的部分的厚度在之间。
16.根据权利要求12所述的方法,其中,所述覆盖层具有与所述接触层相同的材料组成。
17.一种形成接触结构的方法,所述方法包括:
在衬底中形成接触区域;
在所述接触区域上方形成介电层;
在所述介电层中形成开口以至少暴露所述接触区域的表面;
沿着所述接触区域的暴露表面和所述开口的侧壁共形地沉积含硅覆盖层;
在所述开口中的所述含硅覆盖层上共形地沉积金属层;
在所述开口中的所述金属层上共形地沉积粘合层
对所述含硅覆盖层和所述金属层进行退火以沿着所述接触区域和所述介电层的侧壁在所述开口中形成金属硅化物层;以及
在形成所述金属硅化物层之后,在所述开口中和所述介电层上方的所述粘合层上填充导电材料。
18.根据权利要求17所述的方法,其中,在所述开口中的所述金属层上共形地沉积所述粘合层的步骤之后,实施对所述含硅覆盖层和所述金属层进行退火的步骤。
19.根据权利要求17所述的方法,其中,所述金属硅化物层具有延伸在所述接触区域的顶面下方的表面。
CN201410566325.6A 2014-07-01 2014-10-22 接触结构及其形成方法 Active CN105304556B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/321,366 US9620601B2 (en) 2014-07-01 2014-07-01 Contact structures and methods of forming the same
US14/321,366 2014-07-01

Publications (2)

Publication Number Publication Date
CN105304556A CN105304556A (zh) 2016-02-03
CN105304556B true CN105304556B (zh) 2018-07-17

Family

ID=55017585

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201410566325.6A Active CN105304556B (zh) 2014-07-01 2014-10-22 接触结构及其形成方法

Country Status (5)

Country Link
US (3) US9620601B2 (zh)
KR (1) KR101697826B1 (zh)
CN (1) CN105304556B (zh)
DE (1) DE102014109562B4 (zh)
TW (1) TWI564995B (zh)

Families Citing this family (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102246880B1 (ko) * 2015-02-10 2021-04-30 삼성전자 주식회사 집적회로 소자 및 그 제조 방법
US9627498B2 (en) * 2015-05-20 2017-04-18 Macronix International Co., Ltd. Contact structure for thin film semiconductor
TWI686351B (zh) 2016-04-01 2020-03-01 聯華電子股份有限公司 奈米線電晶體及其製作方法
EP3513435A4 (en) * 2016-09-15 2020-04-22 Applied Materials, Inc. CONTACT INTEGRATION AND METHODS FOR SELECTIVE SILICIDE FORMATION
US10366918B2 (en) * 2016-10-04 2019-07-30 International Business Machines Corporation Self-aligned trench metal-alloying for III-V nFETs
CN108538838B (zh) * 2017-03-01 2019-11-26 联华电子股份有限公司 制作半导体元件的方法
US10347720B2 (en) 2017-10-30 2019-07-09 Taiwan Semiconductor Manufacturing Co., Ltd. Doping for semiconductor device with conductive feature
CN107871755A (zh) * 2017-11-08 2018-04-03 德淮半导体有限公司 图像传感器及其制造方法
JP2019117855A (ja) * 2017-12-27 2019-07-18 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US11031286B2 (en) 2018-03-01 2021-06-08 Taiwan Semiconductor Manufacturing Co., Ltd. Conductive feature formation and structure
US10504834B2 (en) * 2018-03-01 2019-12-10 Taiwan Semiconductor Manufacturing Co., Ltd. Contact structure and the method of forming the same
US11037838B2 (en) 2018-09-18 2021-06-15 Applied Materials, Inc. In-situ integrated chambers
US11195923B2 (en) * 2018-12-21 2021-12-07 Applied Materials, Inc. Method of fabricating a semiconductor device having reduced contact resistance
WO2020163104A1 (en) 2019-02-08 2020-08-13 Applied Materials, Inc. Semiconductor device, method of making a semiconductor device, and processing system
CN112397531A (zh) * 2019-08-13 2021-02-23 联华电子股份有限公司 半导体元件及其制造方法
DE102020101246A1 (de) * 2019-08-29 2021-03-04 Taiwan Semiconductor Manufacturing Co. Ltd. Leitfähiger kontakt für ionen-substratdurchkontaktierung
US11398516B2 (en) 2019-08-29 2022-07-26 Taiwan Semiconductor Manufacturing Company, Ltd. Conductive contact for ion through-substrate via
US11256114B2 (en) * 2020-02-11 2022-02-22 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device and method of making
US11798846B2 (en) 2020-08-14 2023-10-24 Taiwan Semiconductor Manufacturing Co., Ltd. Contact plug
CN114242688A (zh) * 2020-09-09 2022-03-25 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
US11626495B2 (en) 2021-02-26 2023-04-11 Taiwan Semiconductor Manufacturing Company, Ltd. Protective liner for source/drain contact to prevent electrical bridging while minimizing resistance
CN114042192B (zh) * 2021-11-11 2022-09-02 南京欧赛尔齿业有限公司 一种用于活动义齿的轻质支架钛基复合材料

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6294420B1 (en) * 1997-01-31 2001-09-25 Texas Instruments Incorporated Integrated circuit capacitor
TW200913143A (en) * 2007-07-25 2009-03-16 Samsung Electronics Co Ltd Semiconductor device including interlayer interconnecting structures and methods of forming the same

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW363225B (en) 1998-02-25 1999-07-01 Taiwan Semiconductor Mfg Co Ltd Manufacturing method for metal contact with low resistance and low current leakage
US5899741A (en) 1998-03-18 1999-05-04 Taiwan Semiconductor Manufacturing Company Ltd. Method of manufacturing low resistance and low junction leakage contact
US6117768A (en) 1998-06-19 2000-09-12 Wu; Shye-Lin Void-free tungsten-plug contact for ULSI interconnection
KR100360396B1 (ko) 1999-08-05 2002-11-13 삼성전자 주식회사 반도체소자의 콘택 구조체 형성방법
US20030073304A1 (en) 2001-10-16 2003-04-17 Applied Materials, Inc. Selective tungsten stud as copper diffusion barrier to silicon contact
TWI304635B (zh) 2002-01-30 2008-12-21 Promos Technologies Inc
US7268065B2 (en) 2004-06-18 2007-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of manufacturing metal-silicide features
US7514353B2 (en) 2005-03-18 2009-04-07 Applied Materials, Inc. Contact metallization scheme using a barrier layer over a silicide layer
JP2007088255A (ja) * 2005-09-22 2007-04-05 Toshiba Corp 半導体装置の製造方法
KR100780766B1 (ko) * 2005-12-29 2007-11-30 주식회사 하이닉스반도체 반도체 소자의 콘택 형성 방법
US20090026618A1 (en) * 2007-07-25 2009-01-29 Samsung Electronics Co., Ltd. Semiconductor device including interlayer interconnecting structures and methods of forming the same
KR101378469B1 (ko) 2008-05-07 2014-03-28 삼성전자주식회사 콘택 구조물의 형성 방법 및 이를 이용한 반도체 장치의제조 방법
DE102010064288B4 (de) 2010-12-28 2012-12-06 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG Halbleiterbauelement mit Kontaktelementen mit silizidierten Seitenwandgebieten
US8603915B2 (en) * 2011-11-28 2013-12-10 International Business Machines Corporation Multi-stage silicidation process
US8664060B2 (en) 2012-02-07 2014-03-04 United Microelectronics Corp. Semiconductor structure and method of fabricating the same
US9029208B2 (en) 2012-11-30 2015-05-12 International Business Machines Corporation Semiconductor device with replacement metal gate and method for selective deposition of material for replacement metal gate
TWI575654B (zh) 2012-12-05 2017-03-21 聯華電子股份有限公司 具有接觸插栓的半導體結構與其形成方法
US20140306290A1 (en) * 2013-04-11 2014-10-16 International Business Machines Corporation Dual Silicide Process Compatible with Replacement-Metal-Gate

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6294420B1 (en) * 1997-01-31 2001-09-25 Texas Instruments Incorporated Integrated circuit capacitor
TW200913143A (en) * 2007-07-25 2009-03-16 Samsung Electronics Co Ltd Semiconductor device including interlayer interconnecting structures and methods of forming the same

Also Published As

Publication number Publication date
KR20160003539A (ko) 2016-01-11
TW201603187A (zh) 2016-01-16
TWI564995B (zh) 2017-01-01
US20170338318A1 (en) 2017-11-23
US20160005824A1 (en) 2016-01-07
KR101697826B1 (ko) 2017-02-01
US20180145140A1 (en) 2018-05-24
US9859390B2 (en) 2018-01-02
US10263088B2 (en) 2019-04-16
CN105304556A (zh) 2016-02-03
US9620601B2 (en) 2017-04-11
DE102014109562B4 (de) 2018-03-22
DE102014109562A1 (de) 2016-01-07

Similar Documents

Publication Publication Date Title
CN105304556B (zh) 接触结构及其形成方法
US9779995B2 (en) Highly scaled tunnel FET with tight pitch and method to fabricate same
US9082852B1 (en) LDMOS FinFET device using a long channel region and method of manufacture
US9660083B2 (en) LDMOS finFET device and method of manufacture using a trench confined epitaxial growth process
TWI745375B (zh) 接觸結構的製造方法與半導體裝置
US8592916B2 (en) Selectively raised source/drain transistor
JP2021507533A (ja) 垂直型トランジスタのための自己整合された底部スペーサを形成する方法及び半導体デバイス
CN107346782B (zh) 鳍型场效应晶体管及其制造方法
CN106409679A (zh) 具有掺杂的隔离绝缘层的鳍式场效应晶体管
CN105280705B (zh) 包括将源极区域与漏极区域互连的半导体板的半导体器件
US11152508B2 (en) Semiconductor device including two-dimensional material layer
CN109585301A (zh) 用于包括具有低接触电阻的衬垫硅化物的集成电路制作的工艺
CN105789275A (zh) 具有包括突出底部的栅极间隔件的半导体器件结构及其形成方法
US9437694B1 (en) Transistor with a low-k sidewall spacer and method of making same
US10243073B2 (en) Vertical channel field-effect transistor (FET) process compatible long channel transistors
CN103515195B (zh) 衬底电阻器及其制造方法
CN108122742A (zh) 半导体装置结构的制造方法
US20070066023A1 (en) Method to form a device on a soi substrate
TWI746025B (zh) 半導體裝置及其製造方法
CN107919393B (zh) 一种半导体器件及其制造方法
CN110942979A (zh) 半导体结构的形成方法
CN104282568A (zh) 一种半导体结构及其制造方法
US20180047726A1 (en) Integrated circuit having oxidized gate cut region and method to fabricate same
TWI836346B (zh) 半導體裝置和其形成方法
US9953873B2 (en) Methods of modulating the morphology of epitaxial semiconductor material

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant