CN105097664B - 一种用于集成电路的结构和制造集成电路的方法 - Google Patents
一种用于集成电路的结构和制造集成电路的方法 Download PDFInfo
- Publication number
- CN105097664B CN105097664B CN201410442910.5A CN201410442910A CN105097664B CN 105097664 B CN105097664 B CN 105097664B CN 201410442910 A CN201410442910 A CN 201410442910A CN 105097664 B CN105097664 B CN 105097664B
- Authority
- CN
- China
- Prior art keywords
- groove
- layers
- tan
- deposited
- range
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Active
Links
- 238000000034 method Methods 0.000 title claims abstract description 84
- 238000004519 manufacturing process Methods 0.000 title claims description 13
- 238000005240 physical vapour deposition Methods 0.000 claims abstract description 132
- 229910052751 metal Inorganic materials 0.000 claims abstract description 67
- 239000002184 metal Substances 0.000 claims abstract description 67
- 239000011248 coating agent Substances 0.000 claims abstract description 54
- 238000000576 coating method Methods 0.000 claims abstract description 54
- 239000000758 substrate Substances 0.000 claims abstract description 32
- 238000000151 deposition Methods 0.000 claims abstract description 29
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 claims abstract description 22
- 230000008021 deposition Effects 0.000 claims abstract description 18
- 229910052715 tantalum Inorganic materials 0.000 claims abstract description 13
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 claims abstract description 13
- 229910052757 nitrogen Inorganic materials 0.000 claims abstract description 11
- 230000004888 barrier function Effects 0.000 claims description 111
- 229910052799 carbon Inorganic materials 0.000 claims description 11
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 claims description 10
- 230000009467 reduction Effects 0.000 abstract description 3
- 238000009826 distribution Methods 0.000 abstract 1
- 239000010410 layer Substances 0.000 description 281
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 description 132
- 238000000231 atomic layer deposition Methods 0.000 description 36
- 238000005229 chemical vapour deposition Methods 0.000 description 25
- 230000008569 process Effects 0.000 description 18
- 239000010949 copper Substances 0.000 description 17
- 238000001259 photo etching Methods 0.000 description 17
- 239000003795 chemical substances by application Substances 0.000 description 16
- 229920002120 photoresistant polymer Polymers 0.000 description 10
- 230000008859 change Effects 0.000 description 8
- 239000000463 material Substances 0.000 description 8
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 7
- 229910052802 copper Inorganic materials 0.000 description 7
- 238000005516 engineering process Methods 0.000 description 7
- 239000000203 mixture Substances 0.000 description 7
- 229910052710 silicon Inorganic materials 0.000 description 7
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 6
- 239000010703 silicon Substances 0.000 description 6
- 229910052581 Si3N4 Inorganic materials 0.000 description 5
- 229910052760 oxygen Inorganic materials 0.000 description 5
- 239000004065 semiconductor Substances 0.000 description 5
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 5
- 229910020776 SixNy Inorganic materials 0.000 description 4
- 238000002441 X-ray diffraction Methods 0.000 description 4
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 4
- 238000004140 cleaning Methods 0.000 description 4
- 239000003989 dielectric material Substances 0.000 description 4
- NBBQQQJUOYRZCA-UHFFFAOYSA-N diethoxymethylsilane Chemical compound CCOC([SiH3])OCC NBBQQQJUOYRZCA-UHFFFAOYSA-N 0.000 description 4
- 239000001301 oxygen Substances 0.000 description 4
- WGTYBPLFGIVFAS-UHFFFAOYSA-M tetramethylammonium hydroxide Chemical compound [OH-].C[N+](C)(C)C WGTYBPLFGIVFAS-UHFFFAOYSA-M 0.000 description 4
- 239000010936 titanium Substances 0.000 description 4
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 description 3
- 239000004020 conductor Substances 0.000 description 3
- 238000009713 electroplating Methods 0.000 description 3
- 229910052739 hydrogen Inorganic materials 0.000 description 3
- BASFCYQUMIYNBI-UHFFFAOYSA-N platinum Chemical compound [Pt] BASFCYQUMIYNBI-UHFFFAOYSA-N 0.000 description 3
- 238000005498 polishing Methods 0.000 description 3
- 235000012431 wafers Nutrition 0.000 description 3
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 2
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 2
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 description 2
- 229910045601 alloy Inorganic materials 0.000 description 2
- 239000000956 alloy Substances 0.000 description 2
- 150000001388 alpha-terpinene derivatives Chemical class 0.000 description 2
- 238000004458 analytical method Methods 0.000 description 2
- 230000008901 benefit Effects 0.000 description 2
- 230000015572 biosynthetic process Effects 0.000 description 2
- 239000013078 crystal Substances 0.000 description 2
- 238000013461 design Methods 0.000 description 2
- 238000011161 development Methods 0.000 description 2
- 238000009792 diffusion process Methods 0.000 description 2
- 230000003628 erosive effect Effects 0.000 description 2
- 238000005530 etching Methods 0.000 description 2
- 239000010931 gold Substances 0.000 description 2
- 239000001257 hydrogen Substances 0.000 description 2
- 239000012212 insulator Substances 0.000 description 2
- 238000005259 measurement Methods 0.000 description 2
- 239000012528 membrane Substances 0.000 description 2
- 238000002294 plasma sputter deposition Methods 0.000 description 2
- 239000002243 precursor Substances 0.000 description 2
- 239000002002 slurry Substances 0.000 description 2
- 238000005406 washing Methods 0.000 description 2
- 229910000980 Aluminium gallium arsenide Inorganic materials 0.000 description 1
- JBRZTFJDHDCESZ-UHFFFAOYSA-N AsGa Chemical compound [As]#[Ga] JBRZTFJDHDCESZ-UHFFFAOYSA-N 0.000 description 1
- 229910005540 GaP Inorganic materials 0.000 description 1
- 229910001218 Gallium arsenide Inorganic materials 0.000 description 1
- 229910000530 Gallium indium arsenide Inorganic materials 0.000 description 1
- 229910000673 Indium arsenide Inorganic materials 0.000 description 1
- GPXJNWSHGFTCBW-UHFFFAOYSA-N Indium phosphide Chemical compound [In]#P GPXJNWSHGFTCBW-UHFFFAOYSA-N 0.000 description 1
- 229910000577 Silicon-germanium Inorganic materials 0.000 description 1
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 1
- 239000004411 aluminium Substances 0.000 description 1
- 229910052782 aluminium Inorganic materials 0.000 description 1
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 1
- 230000003667 anti-reflective effect Effects 0.000 description 1
- 230000002238 attenuated effect Effects 0.000 description 1
- 239000003990 capacitor Substances 0.000 description 1
- -1 carborundum Chemical class 0.000 description 1
- 150000001875 compounds Chemical class 0.000 description 1
- 238000010276 construction Methods 0.000 description 1
- 230000000694 effects Effects 0.000 description 1
- 238000010894 electron beam technology Methods 0.000 description 1
- 230000010429 evolutionary process Effects 0.000 description 1
- 239000004088 foaming agent Substances 0.000 description 1
- HZXMRANICFIONG-UHFFFAOYSA-N gallium phosphide Chemical compound [Ga]#P HZXMRANICFIONG-UHFFFAOYSA-N 0.000 description 1
- 239000007789 gas Substances 0.000 description 1
- 229910052732 germanium Inorganic materials 0.000 description 1
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 1
- PCHJSUWPFVWCPO-UHFFFAOYSA-N gold Chemical compound [Au] PCHJSUWPFVWCPO-UHFFFAOYSA-N 0.000 description 1
- 229910052737 gold Inorganic materials 0.000 description 1
- FFUAGWLWBBFQJT-UHFFFAOYSA-N hexamethyldisilazane Chemical compound C[Si](C)(C)N[Si](C)(C)C FFUAGWLWBBFQJT-UHFFFAOYSA-N 0.000 description 1
- WPYVAWXEWQSOGY-UHFFFAOYSA-N indium antimonide Chemical compound [Sb]#[In] WPYVAWXEWQSOGY-UHFFFAOYSA-N 0.000 description 1
- RPQDHPTXJYYUPQ-UHFFFAOYSA-N indium arsenide Chemical compound [In]#[As] RPQDHPTXJYYUPQ-UHFFFAOYSA-N 0.000 description 1
- 239000011810 insulating material Substances 0.000 description 1
- 150000002500 ions Chemical class 0.000 description 1
- 238000002955 isolation Methods 0.000 description 1
- 238000001459 lithography Methods 0.000 description 1
- 229910001092 metal group alloy Inorganic materials 0.000 description 1
- 150000002739 metals Chemical class 0.000 description 1
- 229910052759 nickel Inorganic materials 0.000 description 1
- 239000003960 organic solvent Substances 0.000 description 1
- 239000002245 particle Substances 0.000 description 1
- 229910052697 platinum Inorganic materials 0.000 description 1
- 238000012545 processing Methods 0.000 description 1
- 239000002516 radical scavenger Substances 0.000 description 1
- 229910010271 silicon carbide Inorganic materials 0.000 description 1
- 239000000377 silicon dioxide Substances 0.000 description 1
- 239000002356 single layer Substances 0.000 description 1
- 238000004528 spin coating Methods 0.000 description 1
- 239000000126 substance Substances 0.000 description 1
- 238000012360 testing method Methods 0.000 description 1
- 229910052719 titanium Inorganic materials 0.000 description 1
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 1
- 229910052721 tungsten Inorganic materials 0.000 description 1
- 239000010937 tungsten Substances 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/28008—Making conductor-insulator-semiconductor electrodes
- H01L21/28017—Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
- H01L21/28158—Making the insulator
- H01L21/28167—Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
- H01L21/28194—Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76843—Barrier, adhesion or liner layers formed in openings in a dielectric
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76816—Aspects relating to the layout of the pattern or to the size of vias or trenches
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76829—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76837—Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76877—Filling of holes, grooves or trenches, e.g. vias, with conductive material
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76877—Filling of holes, grooves or trenches, e.g. vias, with conductive material
- H01L21/76879—Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/48—Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
- H01L23/50—Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor for integrated circuit devices, e.g. power bus, number of leads
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/532—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
- H01L23/53204—Conductive materials
- H01L23/53209—Conductive materials based on metals, e.g. alloys, metal silicides
- H01L23/53228—Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
- H01L23/53238—Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/283—Deposition of conductive or insulating materials for electrodes conducting electric current
- H01L21/285—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
- H01L21/28506—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
- H01L21/28512—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
- H01L21/2855—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by physical means, e.g. sputtering, evaporation
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/283—Deposition of conductive or insulating materials for electrodes conducting electric current
- H01L21/285—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
- H01L21/28506—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
- H01L21/28512—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
- H01L21/28556—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
- H01L21/28562—Selective deposition
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76807—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/532—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
- H01L23/5329—Insulating materials
- H01L23/53295—Stacked insulating layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2924/00—Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
- H01L2924/0001—Technical content checked by a classifier
- H01L2924/0002—Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2924/00—Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
- H01L2924/01—Chemical elements
- H01L2924/01073—Tantalum [Ta]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2924/00—Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
- H01L2924/049—Nitrides composed of metals from groups of the periodic table
- H01L2924/0495—5th Group
- H01L2924/04953—TaN
Landscapes
- Engineering & Computer Science (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Physics & Mathematics (AREA)
- Power Engineering (AREA)
- Manufacturing & Machinery (AREA)
- Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Crystallography & Structural Chemistry (AREA)
- General Chemical & Material Sciences (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
Abstract
本发明公开了用于具有减小的接触电阻的集成电路的结构。该结构包括衬底、沉积在衬底上的覆盖层、沉积在覆盖层上的介电层、以及嵌入在介电层中的沟槽。该沟槽包括沉积在沟槽的侧壁上的TaN层,其中,TaN层具有大于钽的氮浓度;沉积在TaN层上的Ta层;以及沉积在Ta层上的Cu。该结构还包括在填充的沟槽的底部集成到沟槽的通孔。在一个实施例中,TaN层和Ta层均以物理汽相沉积(PVD)形成,其中,通过以至少20sccm的N2流量等离子体溅射Ta靶来形成TaN层。该结构提供低的接触电阻(Rc)和紧凑的Rc分布。本发明还涉及器件和用于减小金属的接触电阻的方法。
Description
相关申请的交叉引用
本申请要求2012年7月31日提交的主题为“减小金属的接触电阻的方法(A Methodof Reducing Contact Resistance of a Metal)”的美国临时专利申请第61/677,862号的优先权,其全部内容结合于此作为参考。本申请也是2012年8月31日提交的美国第13/601,223号的部分继续申请,其全部内容也结合于此作为参考。
技术领域
本发明涉及器件和用于减小金属的接触电阻的方法。
背景技术
半导体集成电路(IC)工业已经经历了指数增长。IC材料和设计中的技术进步已经产生了多代IC,其中,每一代都具有比前一代更小和更复杂的电路。在IC演化过程中,功能密度(即,每芯片面积的互连器件的数量)普遍增大,而几何尺寸(即,使用制造工艺可以产生的最小组件(或线))减小。该按比例缩小工艺通常通过提高生产效率和降低相关成本来提供益处。这种按比例缩小也增加了处理和制造IC的复杂性,而为了实现这些进步,需要IC处理和制造中的类似的发展。
例如,随着器件的临界尺寸(CD)按比例缩小,CD的任何变化都可能变得更加相关,包括IC器件中的金属结构的接触电阻(Rc)的产生的变化。因此,需要一种用于进一步按比例缩小IC器件的方法。
发明内容
为了解决现有技术中的问题,本发明提供了一种用于集成电路的结构,所述结构包括:衬底;覆盖层,沉积在所述衬底上;介电层,沉积在所述覆盖层上;以及沟槽,嵌入在所述介电层中,其中,所述沟槽包括:TaN层,形成在所述沟槽的侧壁上,其中,所述TaN层具有大于钽浓度的氮浓度;Ta层,形成在所述TaN层上方;以及含Cu层,形成在所述Ta层上方,其中,所述TaN层和所述Ta层的总体碳(C)浓度低于约0.2%。
在上述结构中,其中,所述TaN层和所述Ta层的总体N/Ta比率在从约0.6至约1.0的范围内。
在上述结构中,其中,所述TaN层的N/Ta比率在从约2.3至约2.6的范围内。
在上述结构中,还包括通孔,所述通孔在所述沟槽的底部集成到所述沟槽,其中,所述通孔到达所述覆盖层。
在上述结构中,还包括通孔,所述通孔在所述沟槽的底部集成到所述沟槽,其中,所述通孔到达所述覆盖层;其中,所述沟槽具有约0.045μm的CD,并且所述结构的接触电阻(Rc)具有小于约0.4欧姆(Ω)的标准差(σ)。
在上述结构中,其中,所述TaN层的厚度在从约10埃至约20埃的范围内。
在上述结构中,其中,所述Ta层的厚度在从约50埃至约100埃的范围内。
在上述结构中,其中,所述TaN层和所述Ta层包括α-Ta,但是基本上不包括β-Ta。
在上述结构中,其中,所述TaN层和所述Ta层包括α-Ta和β-Ta。
根据本发明的另一个方面,提供了一种用于集成电路的结构,所述结构包括:衬底;第一覆盖层,形成在所述衬底上方;第一介电层,形成在所述第一覆盖层上方;第一沟槽,嵌入在所述第一介电层中,其中,所述第一沟槽包括:第一TaN层,沉积在所述第一沟槽的底部和侧壁上,其中,所述第一TaN层具有大于钽浓度的氮浓度;第一Ta层,沉积在所述第一TaN层上方;以及第一含Cu层,形成在所述第一Ta层上方;第二覆盖层,形成在所述第一介电层上方;第二介电层,形成在所述第二覆盖层上方;第二沟槽,嵌入在所述第二介电层中,其中,所述第二沟槽包括:第二TaN层,沉积在所述第二沟槽的底部和侧壁上,其中,所述第二TaN层具有大于钽浓度的氮浓度;第二Ta层,沉积在所述第二TaN层上方;以及第二含Cu层,形成在所述第二Ta层上方;以及通孔,位于所述第一沟槽和所述第二沟槽之间,其中,所述通孔在所述第一沟槽的顶部集成到所述第一沟槽内并且在所述第二沟槽的底部集成到所述第二沟槽内。
在上述结构中,其中,所述第一沟槽与所述第二沟槽的接触电阻(Rc)具有小于约0.4欧姆(Ω)的标准差(σ)。
在上述结构中,其中,所述第一沟槽与所述第二沟槽的接触电阻(Rc)在从约6欧姆(Ω)至约11欧姆(Ω)的范围内,同时所述第一沟槽的临界尺寸(CD)在从约0.05微米(μm)至约0.5微米(μm)的范围内。
在上述结构中,其中,所述通孔的CD在从约0.025μm至约0.040μm的范围内,同时所述第一沟槽或所述第二沟槽的CD在从约0.036μm至约1.0μm的范围内。
在上述结构中,其中,所述通孔的CD在从约0.040μm至约0.055μm的范围内,同时所述第一沟槽或所述第二沟槽的CD在从约0.045μm至约1.0μm的范围内。
在上述结构中,其中,所述通孔的CD在从约0.055μm至约0.070μm的范围内,同时所述第一沟槽或所述第二沟槽的CD在从约0.064μm至约1.0μm的范围内。
根据本发明的又一个方面,提供了一种制造集成电路的方法,所述方法包括:在衬底上沉积覆盖层;在所述覆盖层上沉积介电层;在所述介电层中形成沟槽;以及填充所述沟槽,其中,填充所述沟槽包括:以至少20标准立方厘米每分钟(sccm)的N2流量使用TaN的物理汽相沉积(PVD)在所述沟槽的底部和侧壁上沉积第一阻挡层;使用Ta的PVD在所述第一阻挡层上沉积第二阻挡层;以及在所述第二阻挡层上方沉积金属层。
在上述方法中,其中,沉积所述第一阻挡层包括以从约20sccm至约40sccm的范围内的N2流量等离子体溅射Ta靶。
在上述方法中,其中,沉积所述第一阻挡层包括以从约20sccm至约40sccm的范围内的N2流量等离子体溅射Ta靶;其中,沉积所述第一阻挡层还包括:从约4sccm至约50sccm的范围内的Ar流量、从约3KW至约15KW的范围内的DC功率以及从约75W至约250W的范围内的AC功率。
在上述方法中,其中,所述第一阻挡层沉积为具有从约10埃至约20埃的范围内的厚度。
在上述方法中,其中,所述第二阻挡层沉积为具有从约至约的范围内的厚度。
附图说明
当结合附图进行阅读时,从以下详细描述可最佳理解本发明。应该强调,根据工业中的标准实践,各个部件未按比例绘制并且仅用于说明的目的。实际上,为了清楚的讨论,各个部件的尺寸可以任意地增大或减小。
图1是根据本发明的一个或多个实施例的器件的截面图。
图2是用于实现本发明的一个或多个实施例的制造器件的方法的流程图。
图3至图14是用于实现本发明的一个或多个实施例的形成器件的截面图。
图15是用于图1和图3至图14的器件的接触电阻改进的实例。
图16提供了用于图1和图3至图14的器件的不同元素比率的图。
图17是用于图1和图3至图14的器件的两种TaN化合物的X射线衍射(XRD)分析。
图18是根据本发明的一个或多个实施例的器件的截面图。
图19是根据一些实施例的用于图18的器件的TaN/Ta化合物的X射线衍射(XRD)分析。
图20示出了根据一些实施例的图14和图18的器件的薄层电阻的图。
图21和图22示出了根据一些实施例的图14和图18的器件的接触电阻的图。
具体实施方式
下文公开内容提供了许多用于实现所提供主题的不同特征的不同实施例或实例。下文中所描述的组件和布置的具体实例是为了简化本发明。当然,这些仅仅是实例,而不旨在限制本发明。例如,在以下描述中,在第二部件上方或者上形成第一部件可以包括第一部件和第二部件以直接接触的方式形成的实施例,并且也可以包括在第一部件和第二部件之间可以形成额外的部件,从而使得第一部件和第二部件可以不直接接触的实施例。此外,本发明可在各个实例中重复参考标号和/或字母。该重复是为了简单和清楚的目的,并且其本身不指示所讨论的各个实施例和/或配置之间的关系。
而且,为便于描述,在此可以使用诸如“在…之下”、“在…下方”、“下部”、“在…之上”、“上部”等的空间相对术语,以描述如图所示的一个元件或部件与另一个(或另一些)元件或部件的关系。除了图中所示的方位外,空间相对术语旨在包括器件在使用或操作中的不同方位。装置可以以其他方式定向(旋转90度或在其他方位上),而在此使用的空间相对描述符可以同样地作出相应的解释。
为了比较的目的,以下公开内容描述了三种不同的器件。参照图1描述了第一器件100,第一器件100代表一种利用诸如钽(Ta)和氮化钽(TaN)的材料的物理汽相沉积(PVD)以用于在一个或多个沟槽内沉积阻挡层的方法和器件。参照图2至图14描述了第二器件300,第二器件300代表一种利用诸如PVD、原子层沉积(ALD)和/或化学汽相沉积(CVD)的不同沉积技术以用于在一个或多个沟槽内沉积阻挡层的方法和器件。参照图18描述了第三器件600,第三器件600代表一种利用诸如钽(Ta)和氮化钽(TaN)的材料的物理汽相沉积(PVD)以用于在一个或多个沟槽内沉积阻挡层的方法和器件。它们之间的差别将在合适的地方进行讨论。
参照图1,器件100包括衬底102、沉积在衬底102上的第一覆盖层104、沉积在第一覆盖层104上的第一介电层106、嵌入到第一介电层106内的第一沟槽108、沉积在第一沟槽108和第一介电层106上方的第二覆盖层114、沉积在第二覆盖层114上的第二介电层116、形成在第一沟槽108上并且埋在第二介电层116中的通孔118、形成在通孔118上并且埋在第二介电层116中的第二沟槽124。
第一沟槽108嵌入到第一介电层106内。第一沟槽108包括沉积在第一沟槽108的底部和侧壁上的第一沟槽金属阻挡层110以及位于第一沟槽金属阻挡层110上方的填充到第一沟槽108内的第一沟槽金属112。为了参考的目的,沟槽金属也称为金属,并且沟槽金属阻挡层也称为金属阻挡层。
第一沟槽金属阻挡层110、通孔金属阻挡层120和第二沟槽金属阻挡层126包括PVDTaN层和PVD Ta层。将PVD TaN和PVD Ta用作金属阻挡层,第一沟槽金属112或第二沟槽金属128的接触电阻(Rc)取决于第一沟槽金属112和/或第二沟槽金属128的临界尺寸(CD)。接触电阻(Rc)随着相应的沟槽金属的CD的增大而增大。因此,IC中的沟槽金属的接触电阻(Rc)的变化可以显著影响IC的性能。
图2至图14描述了第二器件300,第二器件300提供了比图1的第一器件100更低的Rc,对金属线电阻率和后段制程(BEOL)可靠性几乎没有影响。
参照图2,示出了用于实现本发明的一个或多个实施例的形成器件300的方法200。图3至图14是使用方法200形成的第二器件300的截面图。
方法200开始于步骤202,如图3所示,在衬底302上形成堆叠层。步骤202包括:在衬底302上沉积第一覆盖层304,在覆盖层304上沉积第一介电层306,以及在第一介电层306上沉积硬掩模层308。
在本实施例中,衬底302包括具有或不具有一个或多个导电或非导电薄膜的晶圆。该晶圆是包括硅的半导体衬底(即,硅晶圆)。可选地或额外地,该晶圆可以包括:诸如锗的另一元素半导体;包括碳化硅、砷化镓、磷化镓、磷化铟、砷化铟和/或锑化铟的化合物半导体;包括SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP和/或GaInAsP的合金半导体。在又另一可选实施例中,该晶圆可以是绝缘体上半导体(SOI)。导电和非导电薄膜可以包括绝缘体或导电材料。例如,导电材料包括诸如铝(Al)、铜(C u)、钨(W)、镍(Ni)、钛(Ti)、金(Au)和铂(Pt)的金属以及这些金属的合金。绝缘材料可以包括氧化硅和氮化硅。
衬底302可以包括通过离子注入或扩散形成的多个掺杂部件,诸如n型源极/漏极、p型源极/漏极、n型阱和/或p型阱。衬底302也可以包括通过工艺(诸如包括蚀刻以形成多个沟槽以及然后进行的沉积以用介电材料填充沟槽的工艺)形成的诸如浅沟槽隔离(STI)的多个隔离部件。衬底302还包括在用于制造半导体IC器件的前段制程(FEOL)中形成的栅极和接触孔。
在一些实施例中,第一覆盖层304包括氮化硅(SixNy)。第一覆盖层304用于防止金属(例如,铜)扩散。第一介电层306包括可以为有机或无机的介电材料。在本实施例中,介电材料包括介电常数k在从约2.6至约2.65的范围内的有机硅凝胶(OSG)。在氧(O2)等离子体下通过诸如二乙氧基甲基硅烷(DEMS)的前体和诸如α-萜品烯(ATRP)的致孔剂之间进行化学反应,然后进行紫外(UV)固化,从而形成低k介电材料OSG。第一介电层306可以包括硅(Si)、碳(C)、氧(O2)和氢(H)。第一硬掩模层308包括诸如氮化硅(SixNy)的材料或者诸如Ti或TiN的金属硬掩模。其他合适的材料可以用于第一覆盖层304、第一介电层306和第一硬掩模层308。
方法200进行至步骤206,形成第一光刻胶图案310。如图4所示,在沉积在第一介电层306上的第一硬掩模层308的顶部上形成第一光刻胶图案310。步骤206包括例如通过旋涂工艺在第一硬掩模层308上沉积第一光刻胶膜。在本发明中,光刻胶膜也称为抗蚀剂膜。第一光刻胶膜可以包括正性光刻胶或负性光刻胶。第一光刻胶膜也可以包括单层光刻胶膜或多层光刻胶膜。步骤206可以包括在硬掩模层308上沉积第一光刻胶膜之前实施脱水工艺,这可以增强光刻胶膜与硬掩模层308的粘附。脱水工艺可以包括对硬掩模层308高温烘烤一段时间或应用诸如六甲基二硅胺烷(HMDS)的化学物质。步骤206也包括应用底部抗反射涂层(BARC)工艺以改进光刻胶图案的轮廓。步骤206包括使用软烘烤(SB)工艺以增大光刻胶膜的机械强度。
步骤206还包括使用光刻曝光工具曝光沉积在掩模层308上的第一光刻胶膜。光刻曝光工具包括紫外(UV)光、深紫外(DUV)光、远紫外(EUV)光或X射线工具。光刻曝光工具也包括诸如电子束笔的带电粒子工具。步骤206也可以包括使用诸如二元掩模或相移掩模(PSM)的掩模。相移掩模可以是替代相移掩模(alt.PSM)或衰减相移掩模(att.PSM)。在本发明中,掩模也称为光掩模或中间掩模。
步骤206也包括使用诸如四甲基氢氧化铵(TMAH)的显影剂显影曝光后的第一光刻胶膜。可以将有机溶剂用作显影剂。步骤206也包括曝光后烘烤(PEB)、显影后烘烤(PDB)或两者。步骤206也包括冲洗工艺以去除任何显影残留物。
方法200进行至步骤208,如图5所示,形成第一沟槽312。步骤208包括通过使用蚀刻工艺去除硬掩模层308未由第一光刻胶图案310覆盖的部分。步骤208也包括使用清洗工艺以剥离第一光刻胶图案310并且去除任何蚀刻残留物。步骤208还包括使用蚀刻工艺形成第一沟槽312。第一沟槽312穿过第一介电层306和第一覆盖层304到达衬底302的接触区(诸如嵌入到衬底302内的栅极、源极、漏极或电容器)。
方法200进行至步骤210,使用导电材料填充第一沟槽312。步骤210包括在第一沟槽312的底部和侧壁上沉积金属阻挡层。在本实施例中,金属阻挡层包括使用多于一个沉积工艺形成的多个层。如图6所示,步骤210包括使用原子层沉积(ALD)工艺或化学汽相沉积(CVD)在第一沟槽312的底部和侧壁上沉积第一阻挡层314。步骤210也包括使用诸如PVD工艺的沉积工艺在第一阻挡层314上沉积第二阻挡层316。在一个实施例中,第二阻挡层316仅包括PVD Ta层,而不包括PVD TaN层。在另一实施例中,第二阻挡层316包括PVD Ta层和PVDTaN层。这些实施例均包括PVD Ta,但是PVD TaN是可选择的。应该理解,可以添加更多的阻挡层。应该注意,通过ALD工艺形成的TaN层称为ALD TaN,通过CVD工艺形成的TaN层称为CVDTaN,通过PVD工艺形成的Ta层称为PVD Ta,依此类推。还应该注意,如下所讨论的,PVD TaN不同于ALD TaN或CVD TaN。
如图6所示,步骤210还包括使用诸如电镀工艺的沉积工艺以诸如铜(Cu)的第一沟槽金属318填充第一沟槽312。在一个实施例中,步骤210也可以包括沉积晶种层。
方法200进行至步骤212,实施化学机械抛光(CMP)工艺。如图6至图7所示,步骤212包括去除第一沟槽312外部的第一沟槽金属318、第二阻挡层316和第一阻挡层314。步骤212也包括使用蚀刻工艺去除第一硬掩模层308。步骤212还包括将焊盘和料浆用于抛光。步骤212也包括使用洗涤清洗工艺。如图7所示,第一沟槽金属318嵌入第一介电层306中。
方法200进行至步骤214,如图8所示,在嵌入第一介电层306中的第一沟槽金属318上沉积第二堆叠层。步骤214包括:在嵌入第一介电层306中的第一沟槽金属318上沉积第二覆盖层320,在沉积在第一沟道金属318上的第二覆盖层320上沉积第二介电层322,以及在沉积在第二覆盖层320上的第二介电层322上沉积第二硬掩模层324。
如图8所示,在本实施例中,第二覆盖层320包括氮化硅(SixNy)。第二覆盖层320用于防止金属层之间的金属(例如,铜)扩散。第二介电层322可以包括有机或无机介电材料。在本实施例中,该材料包括介电常数k在从约2.6至约2.65的范围内的有机硅凝胶(OSG)。在氧(O2)等离子体下通过诸如二乙氧基甲基硅烷(DEMS)的前体和诸如α-萜品烯(ATRP)的致孔剂之间进行化学反应,然后进行紫外(UV)固化,从而形成低k介电材料OSG。第二介电层322可以包括硅(Si)、碳(C)、氧(O2)和/或氢(H)。第二介电层322可以与第一介电层306相同或类似。第二硬掩模层324包括诸如氮化硅(SixNy)的材料或者如Ti或TiN的金属硬掩模。其他合适的材料可以用于第二覆盖层320、第二介电层322和第二硬掩模层324。
方法200进行至步骤216,如图9所示,形成第二光刻胶图案326。在沉积在第二介电层322上的第二硬掩模层324的顶部上形成第二光刻胶图案326。步骤216与参照图4形成第一光刻胶图案310的步骤206类似或相同。
方法200进行至步骤218,如图10所示,形成沟槽328。步骤218包括使用蚀刻工艺去除第二硬掩模层324未由第二光刻胶图案326覆盖的部分。如图10所示,步骤218也包括蚀刻到第二介电层322内。步骤218还包括使用清洗工艺以剥离第二光刻胶图案326并且去除任何蚀刻残留物。
方法200进行至步骤220,如图11所示,形成第三光刻胶图案330。第三光刻胶图案330形成在沟槽328和第二硬掩模层324的顶部上。步骤220与参照图4形成第一光刻胶图案310的步骤206类似或相同。
方法200进行至步骤222,如图12所示,形成通孔332和第二沟槽334。步骤222包括通过利用第三光刻胶图案330和蚀刻工艺蚀刻穿过第二介电层322和第二覆盖层320到达第一沟槽金属318。步骤222也包括使用清洗工艺剥离第三光刻胶图案330。步骤222还包括通过利用硬掩模层324使用蚀刻工艺蚀刻第二介电层322。
方法200进行至步骤224,如图13所示,填充通孔332和第二沟槽334。步骤224包括使用ALD或CVD在通孔332和第二沟槽334的底部和侧壁上沉积第三阻挡层336。在本实施例中,第三阻挡层336与第一沟槽金属318接触。步骤224也包括使用诸如PVD的沉积工艺在第三阻挡层336上沉积第四阻挡层338。步骤224还包括使用诸如电镀工艺的沉积工艺在第四沟槽阻挡层338上沉积第二沟槽金属340并且填满通孔332和第二沟槽334。在一个实施例中,步骤224也可以包括沉积第二沟槽金属的晶种层。
在本实施例中,第三阻挡层336包括使用ALD工艺或CVD工艺沉积在通孔332和第二沟槽334的底部和侧壁上的TaN层(ALD TaN或CVD TaN)。在一个实施例中,第四阻挡层338仅包括PVD Ta,而不包括PVD TaN。在另一实施例中,第四阻挡层338包括PVD Ta和PVD TaN。继续本实施例,第二沟槽金属340包括通过使用电镀工艺形成的铜(Cu)。第二沟槽金属340可以包括其他金属或金属合金。
方法200进行至步骤226,实施化学机械抛光(CMP)工艺。如图13至图14所示,步骤226包括去除第二沟槽334外部的第三阻挡层336、第四阻挡层338和第二沟槽金属340。步骤226包括将焊盘和料浆用于抛光。步骤226也包括使用洗涤清洗工艺。步骤226还包括使用蚀刻工艺去除第二硬掩模层324。在方法200之前、期间和之后可以提供额外的步骤,并且对于方法200的额外实施例,可以替换、消除或移动一些描述的步骤。在本实施例中,可以通过使用方法200形成更多的沟槽金属层。
如图14所示,通过方法200制造的器件300包括衬底302、沉积在衬底302上的第一覆盖层304、沉积在第一覆盖层304上的第一介电层306、嵌入到第一覆盖层304和第一介电层306内的第一沟槽312、沉积在第一介电层306上的第二覆盖层320、沉积在第二覆盖层320上的第二介电层322、集成在第一沟槽312的顶部上并且嵌入到第二覆盖层320和第二介电层322内的通孔332、以及集成在通孔332的顶部上并且嵌入到第二介电层322内的第二沟槽334。然而,器件的其他配置是可以的。
如图14所示,第一沟槽312包括沉积在第一沟槽312的底部和侧壁上的第一阻挡层314、沉积在第一阻挡层314上的第二阻挡层316、以及沉积在第二阻挡层316上同时填满第一沟槽312的第一沟槽金属318。通孔332包括沉积在第一沟槽金属318的顶部和通孔332的侧壁上的第三阻挡层336、沉积在第三阻挡层336上的第四阻挡层338、以及沉积在第四阻挡层338上同时填满通孔332的第二沟槽金属340。第二沟槽334包括沉积在第二沟槽334的侧壁上的第三阻挡层336、沉积在第三阻挡层336上的第四阻挡层338、以及沉积在第四阻挡层338上同时填满第二沟槽334的第二沟槽金属340。通孔332和第二沟槽334集成在一起。第三阻挡层336和第四阻挡层338由通孔332和第二沟槽334共享。通孔332和第二沟槽334均填充有第二沟槽金属340。
图15是对器件100(图1)的Rc(以组402表示)与器件300(图2至图14)的Rc(以组404表示)进行比较的图400。组402包括在M1和M2沉积在PVD Ta/TaN上时,具有M1和M2的临界尺寸(CD)变化的第一沟槽金属M1和第二沟槽金属M2之间的Rc数据。组404包括在M1和M2沉积在ALD TaN/PVD Ta上时,具有M1和M2的CD变化的第一沟槽金属M1和第二沟槽金属M2之间的Rc数据。
在不同的M1/M2CD处,组404中的Rc数据低于组402中的Rc数据,仅有M1/M2为0.052时是一个例外,在例外的情况下,它们大约相同。如图所示,组402中的Rc从约6Ω变化至约14Ω,而组404中的Rc从约6Ω变化至约11Ω。应该注意,在不同的M1/M2CD位置处,组404中的Rc数据的变化小于组402中的Rc数据的变化。还应该注意,组404中的Rc变化的斜率小于组402中的Rc变化的斜率。因此,与器件100相比,通过使用器件300改进了IC器件的性能。
参照图16和图17,与PVD TaN相比,ALD TaN或CVD TaN之间的差异可以通过不同的方式表示。图16提供了对应于器件100(图1)的图500和对应于器件300(图2至图14)的图510。图510示出了ALD TaN的N/Ta比率为约2.3至2.6、PVD TaN的N/Ta比率为约0.3至0.6、以及ALD TaN/PVD Ta或ALD Ta/PVD TaN/Ta的N/Ta比率为约0.6至1.0。PVD TaN/Ta(图500)中的碳(C)含量低于约0.2%,而ALD TaN/PVD Ta或ALD TaN/PVD TaN/Ta(图510)中的C含量为约0.2%至1%。
参照图17,比较器件100和300的X射线衍射(XRD)分析。线520对应于器件300,而线530对应于器件100。线520、530类似,除了在图中特别指定的区域中。该图示出了PVD TaN/Ta(器件100)中的β中的β和ALD TaN/PVD Ta或ALD TaN/PVD TaN/Ta(器件300)中的α-Ta。
参照图18,其中示出了根据本发明的多个方面制造的器件600。器件600的许多层和组成类似于器件300(图14)的层和组成。因此,为了简单的目的,以相同的参考标号标记它们。然而,器件600包括与器件300不同的一对金属阻挡层(为TaN层上方Ta层)。在一个实施例中,阻挡层636是厚度在从约至的范围内的PVD TaN层,阻挡层638是厚度在从约至约的范围内的PVD Ta层,并且阻挡层638位于阻挡层636上方。在另一实施例中,阻挡层614是厚度在从约至约的范围内的PVD TaN层,阻挡层616是厚度在从约至的范围内的PVD Ta层,并且阻挡层616位于阻挡层614上方。在多个实施例中,阻挡层对636/638可以具有与阻挡层对614/616相同的组成或不同的组成。例如,在一个实施例中,阻挡层614/616是PVD TaN/PVD Ta层,而阻挡层636和638分别与阻挡层336和338(图14)基本上相同。在另一实施例中,阻挡层636/638是PVD TaN/PVD Ta层,而阻挡层614和616分别与阻挡层314和316(图14)基本上相同。在又另一实施例中,阻挡层614/616是PVD TaN/PVD Ta层,并且阻挡层636/638也是PVD TaN/PVD Ta层。在多个实施例中,诸如614/616对或636/638对的器件600的PVD TaN/PVD Ta层对具有与如图510(图16)所示的器件300类似的N/Ta浓度比率。例如,关于器件600,PVD TaN层的N/Ta比率为约2.3至2.6,并且PVD TaN/PVD Ta层的N/Ta比率为约0.6至1.0。然而,器件600的PVD TaN/PVD Ta层对的碳(C)含量低于器件300的阻挡层的C含量。在一个实施例中,器件600的PVD TaN/Ta层中的碳(C)含量低于约0.2%。
在多个实施例中,器件600也不同于器件100(图1)。例如,器件600的PVD TaN层(例如,阻挡层614和/或阻挡层636)薄于器件100的PVD TaN层(例如,图1中的阻挡层110、120和/或126)。在多个实施例中,器件600的PVD TaN层薄于而器件100的PVD TaN层厚于器件600和器件100之间的另一个差别为相应的PVD TaN/PVD Ta阻挡层中的N/Ta比率。在多个实施例中,器件600的PVD TaN层具有约2.3至2.6的N/Ta比率(图16的图510),而器件100的PVD TaN层具有约0.3至0.6的N/Ta比率(图16的图500)。
仍参照图18,在器件600的一个实施例中,第一沟槽312和第二沟槽334均具有从约0.036微米(μm)至约1.0μm的范围内的CD(例如,宽度),而通孔332具有从约0.025μm至约0.040μm的范围内的CD(例如,直径)。在器件600的另一实施例中,第一沟槽312和第二沟槽334均具有从约0.045μm至约1.0μm的范围内的CD(例如,宽度),而通孔332具有从约0.040μm至约0.055μm的范围内的CD(例如,直径)。在器件600的又另一实施例中,第一沟槽312和第二沟槽334均具有从约0.064μm至约1.0μm的范围内的CD(例如,宽度),而通孔332具有从约0.055μm至约0.070μm的范围内的CD(例如,直径)。
根据一些实施例,可以以方法200(图2)制造器件600。在一个实施例中,方法200在步骤210中形成作为一对PVD TaN和PVD Ta层的阻挡层614和616。在该实施例中,如图6所示,步骤210使用第一PVD工艺(包括以可控的N2流量等离子体溅射Ta靶)在第一沟槽312的底部和侧壁上沉积阻挡层614。在第一PVD工艺中,N2流量控制在从约20标准立方厘米每分钟(sccm)至约40sccm。在一个实施例中,N2流量为约30sccm。在另一实施例中,N2流量为约36sccm。在又另一实施例中,N2流量为从约30sccm至约40sccm。在多个实施例中,第一PVD工艺还包括从约4sccm至约50sccm的范围内的Ar流量、从约3KW至约15KW的范围内的DC功率以及从约75W至约250W的范围内的AC功率。在多个实施例中,PVD TaN层614的厚度控制在约至由于高N2流量与其他操作条件(诸如Ar流量、DC功率和AC功率)结合,PVD TaN层614获得从约2.3至约2.6的范围内的高N/Ta比率。步骤210还包括使用第二PVD工艺在阻挡层614上沉积阻挡层616。第二PVD工艺包括在没有N2流量的情况下等离子体溅射Ta靶。在多个实施例中,PVD Ta层616的厚度控制在约至在一个实施例中,方法200在步骤224中使用与上述类似的PVD工艺形成作为一对PVD TaN和PVD Ta层的阻挡层636和638。由于PVD TaN636(或614)中的高N/Ta比率,PVD Ta层638(或616)获得高于器件100(图1)中的PVD Ta层的Ta纯度。因此,器件600获得低于器件100的Rc。此外,如将在下面讨论的,器件600的某些特性比得上或甚至超过器件300。
图19示出了器件600的多个实施例的XRD分析。参照图19,图712示出了一对PVDTaN/PVD Ta层中的Ta组成,其中,以约27sccm的N2流量形成PVD TaN层。类似地,图714和图716示出了在具有不同N2流量的这样一对中的Ta组成。具体地,图714中的实施例使用约30sccm的N2流量,而图716中的实施例使用约36sccm的N2流量。从图19可以看出,图712中的实施例包括β-Ta和α-Ta,而图714和图716中的实施例包括增大的α-Ta组分和减少的β-Ta组分。具体地,图716中的实施例包括α-Ta,但是基本上不包括β-Ta。由于β-Ta的电阻通常比α-Ta更大,因此图19至少部分地解释了为什么以较高N2流量形成的PVD TaN层有助于降低器件600的各个实施例的Rc。
当设计诸如器件100、300和600的集成电路互连件时,互连件的电阻是重要的问题。例如,通过互连件的传播延迟t通常表示为t=RC,其中,R是互连件的电阻,而C是互连件的电容性负载。因此,较低的电阻通常有助于降低传播延迟,从而加快切换速度。互连件的电阻包括薄层电阻(Rs)组件和接触电阻(Rc)组件。为了比较器件300和600的电阻,分别比较Rs和Rc组件。为此目的,通过模拟和实验比较器件300的一个实施例和器件600的两个实施例,其中,所有三个实施例均使用宽度为0.045μm的层-5含铜金属线。器件300的实施例将ALD TaN层(例如,阻挡层336)上方的PVD Ta层(例如,阻挡层338)用作通孔332中的金属阻挡层。器件600的第一实施例将PVD TaN层(例如,阻挡层636)上方的PVD Ta层(例如,阻挡层638)用作通孔332中的金属阻挡层,其中,以约30sccm的N2流量形成PVD TaN层。器件600的第二实施例将PVD TaN层(例如,阻挡层636)上方的PVD Ta层(例如,阻挡层638)用作通孔332中的金属阻挡层,其中,以约36sccm的N2流量形成PVD TaN层。图20比较了三个实施例的相应的金属线的Rs。图21和图22比较了三个实施例的Rc。
参照图20,图722示出了关于器件300的实施例的Rs的统计。图724示出了关于器件600的第一实施例的Rs的统计。图726与图724基本上重叠,图726示出了关于器件600的第二实施例的Rs的统计。可以从图20看出,三个实施例的Rs大约相同。
参照图21和图22,图732和图742示出了关于器件300的实施例的Rc的统计,图734和图744示出了关于器件600的第一实施例的Rc的统计,并且图736和图746示出了关于器件600的第二实施例的Rc的统计。在每个图中,均使用了约484个样本。参照图21,图732中的平均和中值Rc低于图734和图736中的平均和中值Rc。然而,图734和图736中的Rc标准差(σ)小于图732中的Rc标准差,这有助于器件600中的更可预测的互连件电阻。关于图734和图736中所示的器件600的两个实施例,Rc标准差(σ)小于约0.4欧姆(Ω)。图22示出了与图21中相同的信息,但是从不同的角度。此外,与器件100的一些实施例(图15中的组402)相比,器件600的两个实施例显示出通常类似于图15中的组404的较低的Rc,其中,沟槽312与沟槽334的接触电阻(Rc)在从约6在至约11从的范围内,而沟槽的临界尺寸(CD)在从约0.05微米(μm)至约0.5微米(μm)的范围内。
以上所示的测量和数据仅用于实例的目的,并且是关于本发明的一些而不是全部实施例导出的。因此,除了权力要求中明确说明的,本发明不应由这些测量和数据限制。
因此,本发明描述了用于集成电路的结构。该结构包括衬底、沉积在衬底上的覆盖层、沉积在覆盖层上的介电层、以及嵌入在介电层中的沟槽。该沟槽包括:沉积在沟槽的侧壁上的原子层沉积(ALD)TaN或化学汽相沉积(CVD)TaN,其中,ALD TaN或CVD TaN的N/Ta比率在从约2.3至2.6的范围内;沉积在ALD TaN或CVD TaN上的物理汽相沉积(PVD)Ta或者PVDTa和PVD TaN的组合,其中,PVD TaN的N/Ta比率在从约0.3至0.6的范围内,并且PVD Ta的N/Ta比率接近零;以及沉积在PVD Ta上的Cu或者沉积在ALD TaN或CVD TaN上的PVD Ta和PVDTaN的组合,其中,PVD Ta、或者PVD Ta和PVD TaN与ALD TaN或CVD TaN的组合的N/Ta比率在从约0.6至1.0的范围内。该结构还包括在填充的沟槽的底部集成到沟槽的通孔。通孔到达覆盖层。ALD TaN的厚度在从约5埃至10埃的范围内。PVD Ta或PVD TaN的Ta从β-Ta变为α-Ta。介电层包括介电常数k在从约2.6至2.65的范围内的低k材料。介电层还包括Si、C、O和H。ALD TaN和PVD Ta或者沉积在ALD TaN上的PVD Ta和PVD TaN中的碳(C)浓度在从约0.2个百分比(%)至1%的范围内。PVD Ta或PVD TaN中的碳(C)浓度小于约0.2%。
在一些实施例中,描述了用于集成电路的结构。该结构包括衬底、沉积在衬底上的第一覆盖层、沉积在第一覆盖层上的第一介电层、嵌入在第一介电层中的第一沟槽、沉积在第一介电层上的第二覆盖层、沉积在第二覆盖层上的第二介电层、嵌入在第二介电层中的第二沟槽、以及位于第一沟槽和第二沟槽之间并且在填充的第一沟槽的顶部集成到第一沟槽并且在第二沟槽的底部集成到第二沟槽的通孔。第一沟槽或第二沟槽包括:沉积在第一沟槽的底部和侧壁上的原子层沉积(ALD)TaN或化学汽相沉积(CVD)TaN,其中,ALD TaN或CVD TaN的N/Ta比率在从约2.3至2.6的范围内;沉积在ALD TaN或CVD TaN上的物理汽相沉积(PVD)Ta或者PVD Ta和PVD TaN的组合,其中,PVD TaN的N/Ta比率在从约0.3至0.6的范围内,并且PVD Ta的N/Ta比率接近零;以及沉积在PVD Ta上的Cu或者沉积在ALD TaN或CVDTaN上的PVD Ta和PVD TaN的组合,其中,PVD Ta、或者PVD Ta和PVD TaN与ALD TaN或CVDTaN的组合的N/Ta比率在从约0.6至1.0的范围内。
本发明也描述了用于制造集成电路的方法。该方法包括:在衬底上沉积覆盖层,在覆盖层上沉积介电层,在介电层上沉积硬掩模层,在第一介电层中形成沟槽,以及填充沟槽。填充沟槽包括:在沟槽的底部和侧壁上沉积第一阻挡层,在第一阻挡层上沉积第二阻挡层,以及在第二阻挡层上沉积金属。该方法还包括使用化学机械抛光(CMP)以去除硬掩模层。沉积第一阻挡层包括使用原子层沉积(ALD)工艺或化学汽相沉积(CVD)工艺沉积厚度在从约5埃至10埃的范围内的氮化钽(TaN)。沉积第二阻挡层包括使用物理汽相沉积(PVD)工艺在第一阻挡层上沉积厚度在从约至的范围内的Ta层。沉积第二阻挡层还包括使用PVD工艺沉积TaN层。沉积金属包括沉积铜(Cu)。沉积金属还包括沉积Cu晶种层。
在一个示例性方面中,本发明涉及用于集成电路的结构。该结构包括衬底;沉积在衬底上的覆盖层;沉积在覆盖层上的介电层;以及嵌入在介电层中的沟槽。沟槽包括形成在沟槽的侧壁上的TaN层,其中,TaN层具有大于钽浓度的氮浓度;形成在TaN层上方的Ta层;以及形成在Ta层上方的含Cu层。TaN层和Ta层的总体碳(C)浓度低于约0.2个百分比(%)。
在另一个示例性方面中,本发明涉及用于集成电路的结构。该结构包括衬底;形成在衬底上方的第一覆盖层;形成在第一覆盖层上方的第一介电层;嵌入在第一介电层中的第一沟槽。第一沟槽包括沉积在第一沟槽的底部和侧壁上的第一TaN层,其中,第一TaN层具有大于钽的氮浓度;沉积在第一TaN层上方的第一Ta层;以及形成在第一Ta层上方的第一含Cu层。该结构还包括形成在第一介电层上方的第二覆盖层、形成在第二覆盖层上方的第二介电层、嵌入在第二介电层中的第二沟槽。第二沟槽包括沉积在第二沟槽的底部和侧壁上的第二TaN层,其中,第二TaN层具有大于钽浓度的氮浓度;沉积在第二TaN层上方的第二Ta层;以及形成在第二Ta层上方的第二含Cu层。该结构还包括位于第一沟槽和第二沟槽之间的通孔,其中,通孔在第一沟槽的顶部集成到第一沟槽并且在第二沟槽的底部集成到第二沟槽。
在又另一示例性方面,本发明针对制造集成电路的方法。该方法包括:在衬底上沉积覆盖层;在覆盖层上沉积介电层;在介电层中形成沟槽;以及填充沟槽。填充沟槽的步骤包括:以至少20标准立方厘米每分钟(sccm)的N2流量使用TaN的物理汽相沉积(PVD)在沟槽的底部和侧壁上沉积第一阻挡层;使用Ta的PVD在第一阻挡层上沉积第二阻挡层;以及在第二阻挡层上方沉积金属层。
上面概述了若干实施例的特征,使得本领域技术人员可以更好地理解本发明的方面。本领域技术人员应该理解,他们可以容易地使用本发明作为基础来设计或修改用于实施与在此所介绍实施例相同的目的和/或实现相同优势的其他工艺和结构。本领域技术人员也应该意识到,这种等同构造并不背离本发明的精神和范围,并且在不背离本发明的精神和范围的情况下,在此他们可以做出多种变化、替换以及改变。
Claims (20)
1.一种用于集成电路的结构,所述结构包括:
衬底;
覆盖层,沉积在所述衬底上;
介电层,沉积在所述覆盖层上;以及
沟槽,嵌入在所述介电层中,其中,所述沟槽包括:
TaN层,形成在所述沟槽的侧壁上,其中,所述TaN层具有大于钽浓度的氮浓度;
Ta层,形成在所述TaN层上方;以及
含Cu层,形成在所述Ta层上方,其中,所述TaN层和所述Ta层的总体碳(C)浓度低于0.2%。
2.根据权利要求1所述的结构,其中,所述TaN层和所述Ta层的总体N/Ta比率在从0.6至1.0的范围内。
3.根据权利要求1所述的结构,其中,所述TaN层的N/Ta比率在从2.3至2.6的范围内。
4.根据权利要求1所述的结构,还包括通孔,所述通孔在所述沟槽的底部集成到所述沟槽,其中,所述通孔到达所述覆盖层。
5.根据权利要求4所述的结构,其中,所述沟槽具有0.045μm的CD,并且所述结构的接触电阻(Rc)具有小于0.4欧姆(Ω)的标准差(σ)。
6.根据权利要求1所述的结构,其中,所述TaN层的厚度在从 至的范围内。
7.根据权利要求1所述的结构,其中,所述Ta层的厚度在从至的范围内。
8.根据权利要求1所述的结构,其中,所述TaN层和所述Ta层包括α-Ta,但是不包括β-Ta。
9.根据权利要求1所述的结构,其中,所述TaN层和所述Ta层包括α-Ta和β-Ta。
10.一种用于集成电路的结构,所述结构包括:
衬底;
第一覆盖层,形成在所述衬底上方;
第一介电层,形成在所述第一覆盖层上方;
第一沟槽,嵌入在所述第一介电层中,其中,所述第一沟槽包括:
第一TaN层,沉积在所述第一沟槽的底部和侧壁上,其中,所述第一TaN层具有大于钽浓度的氮浓度;
第一Ta层,沉积在所述第一TaN层上方;以及
第一含Cu层,形成在所述第一Ta层上方;
第二覆盖层,形成在所述第一介电层上方;
第二介电层,形成在所述第二覆盖层上方;
第二沟槽,嵌入在所述第二介电层中,其中,所述第二沟槽包括:
第二TaN层,沉积在所述第二沟槽的底部和侧壁上,其中,所述第二TaN层具有大于钽浓度的氮浓度;
第二Ta层,沉积在所述第二TaN层上方;以及
第二含Cu层,形成在所述第二Ta层上方;以及
通孔,位于所述第一沟槽和所述第二沟槽之间,其中,所述通孔在所述第一沟槽的顶部集成到所述第一沟槽内并且在所述第二沟槽的底部集成到所述第二沟槽内。
11.根据权利要求10所述的结构,其中,所述第一沟槽与所述第二沟槽的接触电阻(Rc)具有小于0.4欧姆(Ω)的标准差(σ)。
12.根据权利要求10所述的结构,其中,所述第一沟槽与所述第二沟槽的接触电阻(Rc)在从6欧姆(Ω)至11欧姆(Ω)的范围内,同时所述第一沟槽的临界尺寸(CD)在从0.05微米(μm)至0.5微米(μm)的范围内。
13.根据权利要求10所述的结构,其中,所述通孔的CD在从0.025μm至0.040μm的范围内,同时所述第一沟槽或所述第二沟槽的CD在从0.036μm至1.0μm的范围内。
14.根据权利要求10所述的结构,其中,所述通孔的CD在从0.040μm至0.055μm的范围内,同时所述第一沟槽或所述第二沟槽的CD在从0.045μm至1.0μm的范围内。
15.根据权利要求10所述的结构,其中,所述通孔的CD在从0.055μm至0.070μm的范围内,同时所述第一沟槽或所述第二沟槽的CD在从0.064μm至1.0μm的范围内。
16.一种制造集成电路的方法,所述方法包括:
在衬底上沉积覆盖层;
在所述覆盖层上沉积介电层;
在所述介电层中形成沟槽;以及
填充所述沟槽,其中,填充所述沟槽包括:
以至少20标准立方厘米每分钟(sccm)的N2流量使用TaN的物理汽相沉积(PVD)在所述沟槽的底部和侧壁上沉积第一阻挡层,其中,所述第一阻挡层具有大于钽浓度的氮浓度;
使用Ta的PVD在所述第一阻挡层上沉积第二阻挡层;以及
在所述第二阻挡层上方沉积金属层。
17.根据权利要求16所述的方法,其中,沉积所述第一阻挡层包括以从20sccm至40sccm的范围内的N2流量等离子体溅射Ta靶。
18.根据权利要求17所述的方法,其中,沉积所述第一阻挡层还包括:从4sccm至50sccm的范围内的Ar流量、从3KW至15KW的范围内的DC功率以及从75W至250W的范围内的AC功率。
19.根据权利要求16所述的方法,其中,所述第一阻挡层沉积为具有从至的范围内的厚度。
20.根据权利要求16所述的方法,其中,所述第二阻挡层沉积为具有从至的范围内的厚度。
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201261677862P | 2012-07-31 | 2012-07-31 | |
US14/286,859 US9159666B2 (en) | 2012-07-31 | 2014-05-23 | Device and method for reducing contact resistance of a metal |
US14/286,859 | 2014-05-23 |
Publications (2)
Publication Number | Publication Date |
---|---|
CN105097664A CN105097664A (zh) | 2015-11-25 |
CN105097664B true CN105097664B (zh) | 2018-04-20 |
Family
ID=50024682
Family Applications (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN201310013153.5A Active CN103579187B (zh) | 2012-07-31 | 2013-01-14 | 减少金属的接触电阻的方法 |
CN201410442910.5A Active CN105097664B (zh) | 2012-07-31 | 2014-09-02 | 一种用于集成电路的结构和制造集成电路的方法 |
Family Applications Before (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN201310013153.5A Active CN103579187B (zh) | 2012-07-31 | 2013-01-14 | 减少金属的接触电阻的方法 |
Country Status (5)
Country | Link |
---|---|
US (5) | US8736056B2 (zh) |
KR (1) | KR101515278B1 (zh) |
CN (2) | CN103579187B (zh) |
DE (1) | DE102014109444B4 (zh) |
TW (1) | TWI550812B (zh) |
Families Citing this family (170)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US8736056B2 (en) * | 2012-07-31 | 2014-05-27 | Taiwan Semiconductor Manufacturing Company, Ltd. | Device for reducing contact resistance of a metal |
US9997457B2 (en) | 2013-12-20 | 2018-06-12 | Intel Corporation | Cobalt based interconnects and methods of fabrication thereof |
US9548303B2 (en) | 2014-03-13 | 2017-01-17 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET devices with unique fin shape and the fabrication thereof |
US9443769B2 (en) | 2014-04-21 | 2016-09-13 | Taiwan Semiconductor Manufacturing Company, Ltd. | Wrap-around contact |
US10177133B2 (en) | 2014-05-16 | 2019-01-08 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device including source/drain contact having height below gate stack |
US9966471B2 (en) | 2014-06-27 | 2018-05-08 | Taiwan Semiconductor Manufacturing Company, Ltd. | Stacked Gate-All-Around FinFET and method forming the same |
US9608116B2 (en) | 2014-06-27 | 2017-03-28 | Taiwan Semiconductor Manufacturing Company, Ltd. | FINFETs with wrap-around silicide and method forming the same |
US9614088B2 (en) | 2014-08-20 | 2017-04-04 | Taiwan Semiconductor Manufacturing Company Ltd. | Metal gate structure and manufacturing method thereof |
US9437484B2 (en) | 2014-10-17 | 2016-09-06 | Taiwan Semiconductor Manufacturing Company, Ltd. | Etch stop layer in integrated circuits |
US9508858B2 (en) | 2014-11-18 | 2016-11-29 | Taiwan Semiconductor Manufacturing Company, Ltd. | Contacts for highly scaled transistors |
US9466494B2 (en) | 2014-11-18 | 2016-10-11 | Taiwan Semiconductor Manufacturing Company, Ltd. | Selective growth for high-aspect ration metal fill |
US9412817B2 (en) | 2014-12-19 | 2016-08-09 | Taiwan Semiconductor Manufacturing Company, Ltd. | Silicide regions in vertical gate all around (VGAA) devices and methods of forming same |
US9613850B2 (en) | 2014-12-19 | 2017-04-04 | Taiwan Semiconductor Manufacturing Company, Ltd. | Lithographic technique for feature cut by line-end shrink |
US9876114B2 (en) | 2014-12-30 | 2018-01-23 | Taiwan Semiconductor Manufacturing Company, Ltd. | Structure and method for 3D FinFET metal gate |
US9673112B2 (en) | 2015-02-13 | 2017-06-06 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of semiconductor fabrication with height control through active region profile |
US9859115B2 (en) | 2015-02-13 | 2018-01-02 | National Taiwan University | Semiconductor devices comprising 2D-materials and methods of manufacture thereof |
US9502502B2 (en) | 2015-03-16 | 2016-11-22 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor devices and methods of manufacture thereof |
US9698048B2 (en) | 2015-03-27 | 2017-07-04 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of fabricating semiconductor device |
US9741829B2 (en) | 2015-05-15 | 2017-08-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method thereof |
US9761683B2 (en) | 2015-05-15 | 2017-09-12 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method thereof |
US9576796B2 (en) | 2015-05-15 | 2017-02-21 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor devices and methods of manufacture thereof |
US10062779B2 (en) | 2015-05-22 | 2018-08-28 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method thereof |
US9685368B2 (en) | 2015-06-26 | 2017-06-20 | Taiwan Semiconductor Manufacturing Company, Ltd. | Interconnect structure having an etch stop layer over conductive lines |
US10403744B2 (en) | 2015-06-29 | 2019-09-03 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor devices comprising 2D-materials and methods of manufacture thereof |
US11424399B2 (en) | 2015-07-07 | 2022-08-23 | Taiwan Semiconductor Manufacturing Co., Ltd. | Integrated thermoelectric devices in Fin FET technology |
US9418886B1 (en) | 2015-07-24 | 2016-08-16 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of forming conductive features |
US9536980B1 (en) | 2015-07-28 | 2017-01-03 | Taiwan Semiconductor Manufacturing Company, Ltd. | Gate spacers and methods of forming same |
US9721887B2 (en) | 2015-08-19 | 2017-08-01 | Taiwan Semiconductor Manufacturing Company, Ltd | Method of forming metal interconnection |
US9831090B2 (en) | 2015-08-19 | 2017-11-28 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method and structure for semiconductor device having gate spacer protection layer |
US9564363B1 (en) | 2015-08-19 | 2017-02-07 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of forming butted contact |
US9698100B2 (en) | 2015-08-19 | 2017-07-04 | Taiwan Semiconductor Manufacturing Company, Ltd. | Structure and method for interconnection |
US9786602B2 (en) | 2015-08-21 | 2017-10-10 | Taiwan Semiconductor Manufacturing Company, Ltd. | Interconnection structure and methods of fabrication the same |
US9728402B2 (en) | 2015-08-21 | 2017-08-08 | Taiwan Semiconductor Manufacturing Company, Ltd. | Flowable films and methods of forming flowable films |
US9490136B1 (en) | 2015-08-31 | 2016-11-08 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of forming trench cut |
US9613856B1 (en) | 2015-09-18 | 2017-04-04 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of forming metal interconnection |
US9972529B2 (en) | 2015-09-28 | 2018-05-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of forming metal interconnection |
US10163797B2 (en) | 2015-10-09 | 2018-12-25 | Taiwan Semiconductor Manufacturing Company, Ltd. | Forming interlayer dielectric material by spin-on metal oxide deposition |
US9735052B2 (en) | 2015-10-12 | 2017-08-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | Metal lines for interconnect structure and method of manufacturing same |
US9711533B2 (en) | 2015-10-16 | 2017-07-18 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET devices having different source/drain proximities for input/output devices and non-input/output devices and the method of fabrication thereof |
US9659864B2 (en) | 2015-10-20 | 2017-05-23 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method and apparatus for forming self-aligned via with selectively deposited etching stop layer |
US9647116B1 (en) | 2015-10-28 | 2017-05-09 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for fabricating self-aligned contact in a semiconductor device |
SG10201608814YA (en) * | 2015-10-29 | 2017-05-30 | Semiconductor Energy Lab Co Ltd | Semiconductor device and method for manufacturing the semiconductor device |
US9818690B2 (en) | 2015-10-30 | 2017-11-14 | Taiwan Semiconductor Manufacturing Company, Ltd. | Self-aligned interconnection structure and method |
US9627531B1 (en) | 2015-10-30 | 2017-04-18 | Taiwan Semiconductor Manufacturing Company, Ltd. | Field-effect transistor with dual vertical gates |
US9520482B1 (en) | 2015-11-13 | 2016-12-13 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of cutting metal gate |
US9633999B1 (en) | 2015-11-16 | 2017-04-25 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method and structure for semiconductor mid-end-of-line (MEOL) process |
US10164051B2 (en) | 2015-11-16 | 2018-12-25 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of cutting metal gate |
US9899387B2 (en) | 2015-11-16 | 2018-02-20 | Taiwan Semiconductor Manufacturing Company, Ltd. | Multi-gate device and method of fabrication thereof |
US9773879B2 (en) | 2015-11-30 | 2017-09-26 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and a method for fabricating the same |
US10340348B2 (en) | 2015-11-30 | 2019-07-02 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of manufacturing finFETs with self-align contacts |
US10163719B2 (en) | 2015-12-15 | 2018-12-25 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of forming self-alignment contact |
US9873943B2 (en) | 2015-12-15 | 2018-01-23 | Taiwan Semiconductor Manufacturing Co., Ltd. | Apparatus and method for spatial atomic layer deposition |
US9728501B2 (en) | 2015-12-21 | 2017-08-08 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of forming trenches |
US10163704B2 (en) | 2015-12-29 | 2018-12-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and a method for fabricating the same |
DE102016116026B4 (de) | 2015-12-29 | 2024-02-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | Halbleitervorrichtung und Herstellungsverfahren |
US9887128B2 (en) | 2015-12-29 | 2018-02-06 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method and structure for interconnection |
US9899269B2 (en) | 2015-12-30 | 2018-02-20 | Taiwan Semiconductor Manufacturing Company, Ltd | Multi-gate device and method of fabrication thereof |
US9614086B1 (en) | 2015-12-30 | 2017-04-04 | Taiwan Semiconductor Manufacturing Company, Ltd. | Conformal source and drain contacts for multi-gate field effect transistors |
US11088030B2 (en) | 2015-12-30 | 2021-08-10 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and a method for fabricating the same |
US10115796B2 (en) | 2016-01-07 | 2018-10-30 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of pulling-back sidewall metal layer |
US10811262B2 (en) | 2016-01-14 | 2020-10-20 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device having a uniform and thin silicide layer on an epitaxial source/ drain structure and manufacturing method thereof |
US9881872B2 (en) | 2016-01-15 | 2018-01-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for fabricating a local interconnect in a semiconductor device |
US10163912B2 (en) | 2016-01-29 | 2018-12-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for semiconductor device fabrication with improved source drain proximity |
US10283605B2 (en) | 2016-01-29 | 2019-05-07 | Taiwan Semiconductor Manufacturing Co., Ltd | Self-aligned metal gate etch back process and device |
US10727094B2 (en) | 2016-01-29 | 2020-07-28 | Taiwan Semiconductor Manufacturing Co., Ltd | Thermal reflector device for semiconductor fabrication tool |
US9812451B2 (en) | 2016-02-03 | 2017-11-07 | Taiwan Semiconductor Manufacturing Company, Ltd | Field effect transistor contact with reduced contact resistance |
US10535558B2 (en) | 2016-02-09 | 2020-01-14 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of forming trenches |
US9543161B1 (en) | 2016-02-10 | 2017-01-10 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of planarizating film |
US9947756B2 (en) | 2016-02-18 | 2018-04-17 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and manufacturing method thereof |
US9754822B1 (en) | 2016-03-02 | 2017-09-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | Interconnect structure and method |
US9755019B1 (en) | 2016-03-03 | 2017-09-05 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method thereof |
US9570556B1 (en) | 2016-03-03 | 2017-02-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method thereof |
US10056407B2 (en) | 2016-03-04 | 2018-08-21 | Taiwan Semiconductor Manufacturing Co., Ltd | Semiconductor device and a method for fabricating the same |
US10109627B2 (en) | 2016-03-08 | 2018-10-23 | Taiwan Semiconductor Manufacturing Co., Ltd. | Enlarging spacer thickness by forming a dielectric layer over a recessed interlayer dielectric |
US9711402B1 (en) | 2016-03-08 | 2017-07-18 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of forming contact metal |
US9911611B2 (en) | 2016-03-17 | 2018-03-06 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of forming openings in a material layer |
DE102016114724B4 (de) | 2016-03-25 | 2021-08-12 | Taiwan Semiconductor Manufacturing Company, Ltd. | Verfahren zum Ausbilden von Gräben mit unterschiedlichen Tiefen und Vorrichtung |
US9779984B1 (en) | 2016-03-25 | 2017-10-03 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of forming trenches with different depths |
US9548366B1 (en) | 2016-04-04 | 2017-01-17 | Taiwan Semiconductor Manufacturing Company, Ltd. | Self aligned contact scheme |
US9847477B2 (en) | 2016-04-12 | 2017-12-19 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of forming a bottom electrode of a magnetoresistive random access memory cell |
US9805951B1 (en) | 2016-04-15 | 2017-10-31 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of integration process for metal CMP |
CN107329927A (zh) * | 2016-04-28 | 2017-11-07 | 富泰华工业(深圳)有限公司 | 一种数据共享系统及方法 |
US9893062B2 (en) | 2016-04-28 | 2018-02-13 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and a method for fabricating the same |
US10475847B2 (en) | 2016-04-28 | 2019-11-12 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device having stress-neutralized film stack and method of fabricating same |
US9899266B2 (en) | 2016-05-02 | 2018-02-20 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET structures and methods of forming the same |
US11127629B2 (en) * | 2016-05-17 | 2021-09-21 | Taiwan Semiconductor Manufacturing Company Ltd. | Semiconductor device and fabricating method thereof |
US10276662B2 (en) | 2016-05-31 | 2019-04-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of forming contact trench |
US9917085B2 (en) | 2016-05-31 | 2018-03-13 | Taiwan Semiconductor Manufacturing Company, Ltd. | Metal gate isolation structure and method forming same |
US9941386B2 (en) | 2016-06-01 | 2018-04-10 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device structure with fin structure and method for forming the same |
US10109467B2 (en) | 2016-06-01 | 2018-10-23 | Taiwan Semiconductor Manufacturing Co., Ltd. | Advanced exhaust system |
US9627258B1 (en) | 2016-06-15 | 2017-04-18 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of forming a contact |
US10164032B2 (en) | 2016-06-17 | 2018-12-25 | Taiwan Semiconductor Manufacturing Company, Ltd. | Self-aligned contact and manufacturing method thereof |
US10515822B2 (en) | 2016-06-20 | 2019-12-24 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for preventing bottom layer wrinkling in a semiconductor device |
US10008414B2 (en) | 2016-06-28 | 2018-06-26 | Taiwan Semiconductor Manufacturing Co., Ltd. | System and method for widening Fin widths for small pitch FinFET devices |
US10685873B2 (en) | 2016-06-29 | 2020-06-16 | Taiwan Semiconductor Manufacturing Co., Ltd. | Etch stop layer for semiconductor devices |
US9768064B1 (en) | 2016-07-14 | 2017-09-19 | Taiwan Semiconductor Manufacturing Co., Ltd. | Formation method of semiconductor device structure |
US9640540B1 (en) | 2016-07-19 | 2017-05-02 | Taiwan Semiconductor Manufacturing Co., Ltd. | Structure and method for an SRAM circuit |
US9721805B1 (en) | 2016-07-29 | 2017-08-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | Formation method of semiconductor device structure |
US10121873B2 (en) | 2016-07-29 | 2018-11-06 | Taiwan Semiconductor Manufacturing Company, Ltd. | Metal gate and contact plug design and method forming same |
US10199500B2 (en) | 2016-08-02 | 2019-02-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | Multi-layer film device and method |
US10043886B2 (en) | 2016-08-03 | 2018-08-07 | Taiwan Semiconductor Manufacturing Company, Ltd. | Metal gate formation through etch back process |
US10164111B2 (en) | 2016-08-03 | 2018-12-25 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and methods of manufacture |
US10510850B2 (en) | 2016-08-03 | 2019-12-17 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method |
US9929271B2 (en) | 2016-08-03 | 2018-03-27 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method |
US10522536B2 (en) | 2016-08-03 | 2019-12-31 | Taiwan Semiconductor Manufacturing Co., Ltd. | Structure and formation method of semiconductor device with gate stacks |
US9991205B2 (en) | 2016-08-03 | 2018-06-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method |
US9997524B2 (en) | 2016-08-24 | 2018-06-12 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor memory device and manufacturing method thereof |
US10269926B2 (en) | 2016-08-24 | 2019-04-23 | Taiwan Semiconductor Manufacturing Company, Ltd. | Purging deposition tools to reduce oxygen and moisture in wafers |
US9865697B1 (en) | 2016-08-25 | 2018-01-09 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device structure and method for forming the same |
US9953864B2 (en) | 2016-08-30 | 2018-04-24 | International Business Machines Corporation | Interconnect structure |
US9812358B1 (en) | 2016-09-14 | 2017-11-07 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET structures and methods of forming the same |
US9966308B2 (en) | 2016-10-04 | 2018-05-08 | International Business Machines Corporation | Semiconductor device and method of forming the semiconductor device |
US9865589B1 (en) | 2016-10-31 | 2018-01-09 | Taiwan Semiconductor Manufacturing Co., Ltd. | System and method of fabricating ESD FinFET with improved metal landing in the drain |
US10049930B2 (en) | 2016-11-28 | 2018-08-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | Memory device and operation method thereof |
US10043665B2 (en) | 2016-11-28 | 2018-08-07 | Taiwan Semiconductor Manufacturing Co., Ltd. | Formation method of semiconductor device structure with semiconductor nanowire |
US9881834B1 (en) | 2016-11-29 | 2018-01-30 | Taiwan Semiconductor Manufacturing Company, Ltd. | Contact openings and methods forming same |
US10290546B2 (en) | 2016-11-29 | 2019-05-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | Threshold voltage adjustment for a gate-all-around semiconductor structure |
US10510598B2 (en) | 2016-11-29 | 2019-12-17 | Taiwan Semiconductor Manufacturing Company, Ltd. | Self-aligned spacers and method forming same |
US9837539B1 (en) | 2016-11-29 | 2017-12-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET device and method of forming |
US9985134B1 (en) | 2016-11-29 | 2018-05-29 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFETs and methods of forming FinFETs |
DE102017118364B4 (de) | 2016-11-29 | 2021-10-14 | Taiwan Semiconductor Manufacturing Co. Ltd. | Verfahren mit Herstellung von Source/Drain- und Gate-Kontakten und Struktur mit solchen |
US10008416B2 (en) | 2016-11-30 | 2018-06-26 | Taiwan Semiconductor Manufacturing Co., Ltd. | Forming a protective layer to prevent formation of leakage paths |
US10707316B2 (en) | 2016-12-09 | 2020-07-07 | Taiwan Semiconductor Manufacturing Co., Ltd. | Structure and formation method of semiconductor device structure with gate structure |
US10157781B2 (en) | 2016-12-14 | 2018-12-18 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for forming semiconductor structure using polishing process |
US9865595B1 (en) | 2016-12-14 | 2018-01-09 | Taiwan Semiconductor Manufacturing Co., Ltd. | FinFET device with epitaxial structures that wrap around the fins and the method of fabricating the same |
US9972571B1 (en) | 2016-12-15 | 2018-05-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Logic cell structure and method |
US10651171B2 (en) | 2016-12-15 | 2020-05-12 | Taiwan Semiconductor Manufacturing Co. Ltd. | Integrated circuit with a gate structure and method making the same |
US10079289B2 (en) | 2016-12-22 | 2018-09-18 | Taiwan Semiconductor Manufacturing Co., Ltd. | Metal gate structure and methods thereof |
US10164106B2 (en) | 2016-12-29 | 2018-12-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and a method for fabricating the same |
US9985023B1 (en) | 2017-02-21 | 2018-05-29 | Taiwan Semiconductor Manufacturing Co., Ltd. | Structure and formation method of semiconductor device structure |
US9859364B1 (en) | 2017-03-03 | 2018-01-02 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method thereof |
US10153198B2 (en) | 2017-04-07 | 2018-12-11 | Taiwan Semiconductor Manufacturing Company, Ltd. | Low-resistance contact plugs and method forming same |
US10707165B2 (en) | 2017-04-20 | 2020-07-07 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device having an extra low-k dielectric layer and method of forming the same |
US10522643B2 (en) | 2017-04-26 | 2019-12-31 | Taiwan Semiconductor Manufacturing Co., Ltd. | Device and method for tuning threshold voltage by implementing different work function metals in different segments of a gate |
US10522417B2 (en) | 2017-04-27 | 2019-12-31 | Taiwan Semiconductor Manufacturing Co., Ltd. | FinFET device with different liners for PFET and NFET and method of fabricating thereof |
US10453753B2 (en) | 2017-08-31 | 2019-10-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Using a metal-containing layer as an etching stop layer and to pattern source/drain regions of a FinFET |
US10276697B1 (en) | 2017-10-27 | 2019-04-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Negative capacitance FET with improved reliability performance |
US10522557B2 (en) | 2017-10-30 | 2019-12-31 | Taiwan Semiconductor Manufacturing Co., Ltd. | Surface topography by forming spacer-like components |
US10366915B2 (en) | 2017-11-15 | 2019-07-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | FinFET devices with embedded air gaps and the fabrication thereof |
US10510894B2 (en) | 2017-11-30 | 2019-12-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | Isolation structure having different distances to adjacent FinFET devices |
DE102018102448B4 (de) | 2017-11-30 | 2023-06-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Bildung und Struktur leitfähiger Merkmale |
US10361120B2 (en) * | 2017-11-30 | 2019-07-23 | Taiwan Semiconductor Manufacturing Co., Ltd. | Conductive feature formation and structure |
US10756114B2 (en) | 2017-12-28 | 2020-08-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor circuit with metal structure and manufacturing method |
US10854615B2 (en) | 2018-03-30 | 2020-12-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | FinFET having non-merging epitaxially grown source/drains |
US10665506B2 (en) | 2018-06-27 | 2020-05-26 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device with reduced via bridging risk |
US11302535B2 (en) | 2018-06-27 | 2022-04-12 | Taiwan Semiconductor Manufacturing Co., Ltd. | Performing annealing process to improve fin quality of a FinFET semiconductor |
US10388771B1 (en) | 2018-06-28 | 2019-08-20 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method and device for forming cut-metal-gate feature |
US10790352B2 (en) | 2018-06-28 | 2020-09-29 | Taiwan Semiconductor Manufacturing Co., Ltd. | High density capacitor implemented using FinFET |
KR102665246B1 (ko) * | 2018-07-03 | 2024-05-09 | 삼성전자주식회사 | 반도체 장치 및 이의 제조 방법 |
US10886226B2 (en) * | 2018-07-31 | 2021-01-05 | Taiwan Semiconductor Manufacturing Co, Ltd. | Conductive contact having staircase barrier layers |
US10998241B2 (en) | 2018-09-19 | 2021-05-04 | Taiwan Semiconductor Manufacturing Co., Ltd. | Selective dual silicide formation using a maskless fabrication process flow |
US10923393B2 (en) * | 2018-09-24 | 2021-02-16 | Taiwan Semiconductor Manufacturing Co., Ltd. | Contacts and interconnect structures in field-effect transistors |
US11210447B2 (en) | 2018-09-26 | 2021-12-28 | Taiwan Semiconductor Manufacturing Co., Ltd. | Reconfiguring layout and sizing for transistor components to simultaneously optimize logic devices and non-logic devices |
US11069793B2 (en) | 2018-09-28 | 2021-07-20 | Taiwan Semiconductor Manufacturing Co., Ltd. | Reducing parasitic capacitance for gate-all-around device by forming extra inner spacers |
US10699944B2 (en) * | 2018-09-28 | 2020-06-30 | Taiwan Semiconductor Manufacturing Company, Ltd. | Surface modification layer for conductive feature formation |
US10971605B2 (en) | 2018-10-22 | 2021-04-06 | Taiwan Semiconductor Manufacturing Co., Ltd. | Dummy dielectric fin design for parasitic capacitance reduction |
US11139203B2 (en) | 2018-10-22 | 2021-10-05 | Taiwan Semiconductor Manufacturing Co., Ltd. | Using mask layers to facilitate the formation of self-aligned contacts and vias |
US11158788B2 (en) * | 2018-10-30 | 2021-10-26 | International Business Machines Corporation | Atomic layer deposition and physical vapor deposition bilayer for additive patterning |
US20200176377A1 (en) * | 2018-11-30 | 2020-06-04 | Nanya Technology Corporation | Electronic device and method of manufacturing the same |
CN110676213B (zh) * | 2019-09-18 | 2021-12-14 | 天津大学 | 一种针对小线宽要求的硅通孔互连铜线阻挡层优化方法 |
US11508822B2 (en) | 2019-09-25 | 2022-11-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Source/drain via having reduced resistance |
US11362035B2 (en) * | 2020-03-10 | 2022-06-14 | Taiwan Semiconductor Manufacturing Company, Ltd. | Diffusion barrier layer for conductive via to decrease contact resistance |
US11764220B2 (en) | 2020-04-27 | 2023-09-19 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of manufacturing a semiconductor device by patterning a serpentine cut pattern |
US11769821B2 (en) | 2020-05-15 | 2023-09-26 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device having a corner spacer |
US12046479B2 (en) | 2020-08-13 | 2024-07-23 | Taiwan Semiconductor Manufacturing Company, Ltd. | Nitride-containing STI liner for SiGe channel |
US11715690B2 (en) * | 2020-09-24 | 2023-08-01 | Nanya Technology Corporation | Semiconductor device having a conductive contact with a tapering profile |
TWI749845B (zh) * | 2020-11-03 | 2021-12-11 | 南亞科技股份有限公司 | 積體電路導線結構及其製造方法 |
US20230060786A1 (en) * | 2021-08-27 | 2023-03-02 | Taiwan Semiconductor Manufacturing Company, Ltd. | Enlarged Backside Contact |
US11996453B2 (en) | 2021-08-27 | 2024-05-28 | Taiwan Semiconductor Manufacturing Company, Ltd. | Introducing fluorine to gate after work function metal deposition |
US12062692B2 (en) | 2021-08-27 | 2024-08-13 | Taiwan Semiconductor Manufacturing Company, Ltd. | Tapered dielectric layer for preventing electrical shorting between gate and back side via |
Citations (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN101088166A (zh) * | 2005-01-26 | 2007-12-12 | 出光兴产株式会社 | 薄膜晶体管及其制造方法、薄膜晶体管基板及其制造方法、使用该薄膜晶体管的液晶显示装置、有机el显示装置及透明导电叠层基板 |
Family Cites Families (54)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TW383435B (en) * | 1996-11-01 | 2000-03-01 | Hitachi Chemical Co Ltd | Electronic device |
US5893752A (en) * | 1997-12-22 | 1999-04-13 | Motorola, Inc. | Process for forming a semiconductor device |
JP2000049116A (ja) * | 1998-07-30 | 2000-02-18 | Toshiba Corp | 半導体装置及びその製造方法 |
US9051641B2 (en) * | 2001-07-25 | 2015-06-09 | Applied Materials, Inc. | Cobalt deposition on barrier surfaces |
WO2003025243A2 (en) * | 2001-09-14 | 2003-03-27 | Asm International N.V. | Metal nitride deposition by ald using gettering reactant |
US7049226B2 (en) * | 2001-09-26 | 2006-05-23 | Applied Materials, Inc. | Integration of ALD tantalum nitride for copper metallization |
US6916398B2 (en) * | 2001-10-26 | 2005-07-12 | Applied Materials, Inc. | Gas delivery apparatus and method for atomic layer deposition |
US20030139034A1 (en) * | 2002-01-22 | 2003-07-24 | Yu-Shen Yuang | Dual damascene structure and method of making same |
DE10301243B4 (de) * | 2003-01-15 | 2009-04-16 | Infineon Technologies Ag | Verfahren zum Herstellen einer integrierten Schaltungsanordnung, insbesondere mit Kondensatoranordnung |
US8241701B2 (en) * | 2005-08-31 | 2012-08-14 | Lam Research Corporation | Processes and systems for engineering a barrier surface for copper deposition |
US7202162B2 (en) * | 2003-04-22 | 2007-04-10 | Taiwan Semiconductor Manufacturing Company, Ltd. | Atomic layer deposition tantalum nitride layer to improve adhesion between a copper structure and overlying materials |
US7098537B2 (en) * | 2003-11-21 | 2006-08-29 | International Business Machines Corporation | Interconnect structure diffusion barrier with high nitrogen content |
US7700474B2 (en) * | 2006-04-07 | 2010-04-20 | Tokyo Electron Limited | Barrier deposition using ionized physical vapor deposition (iPVD) |
US6952052B1 (en) * | 2004-03-30 | 2005-10-04 | Advanced Micro Devices, Inc. | Cu interconnects with composite barrier layers for wafer-to-wafer uniformity |
CN1946412B (zh) * | 2004-04-13 | 2011-04-13 | 明治乳业株式会社 | 炎性肠病的预防和/或治疗剂 |
US7226860B2 (en) * | 2004-04-28 | 2007-06-05 | Taiwan Semiconductor Manfacturing Co. Ltd. | Method and apparatus for fabricating metal layer |
US20050277292A1 (en) * | 2004-05-28 | 2005-12-15 | Chao-Hsien Peng | Method for fabricating low resistivity barrier for copper interconnect |
US7211507B2 (en) * | 2004-06-02 | 2007-05-01 | International Business Machines Corporation | PE-ALD of TaN diffusion barrier region on low-k materials |
US20060009030A1 (en) * | 2004-07-08 | 2006-01-12 | Texas Instruments Incorporated | Novel barrier integration scheme for high-reliability vias |
US7176119B2 (en) * | 2004-09-20 | 2007-02-13 | International Business Machines Corporation | Method of fabricating copper damascene and dual damascene interconnect wiring |
US20060113675A1 (en) * | 2004-12-01 | 2006-06-01 | Chung-Liang Chang | Barrier material and process for Cu interconnect |
US7259463B2 (en) * | 2004-12-03 | 2007-08-21 | Taiwan Semiconductor Manufacturing Company, Ltd. | Damascene interconnect structure with cap layer |
US20090236744A1 (en) * | 2005-03-02 | 2009-09-24 | Takao Kinoshita | Semiconductor device and method of producing the same |
US7538434B2 (en) * | 2005-03-08 | 2009-05-26 | Taiwan Semiconductor Manufacturing Company, Ltd. | Copper interconnection with conductive polymer layer and method of forming the same |
US7335587B2 (en) * | 2005-06-30 | 2008-02-26 | Intel Corporation | Post polish anneal of atomic layer deposition barrier layers |
KR100657165B1 (ko) * | 2005-08-12 | 2006-12-13 | 동부일렉트로닉스 주식회사 | 구리 배선의 형성 방법 및 그에 의해 형성된 구리 배선을포함하는 반도체 소자 |
KR100625795B1 (ko) * | 2005-08-25 | 2006-09-18 | 주식회사 하이닉스반도체 | 반도체 소자의 게이트 및 그 형성방법 |
US20070126120A1 (en) * | 2005-12-06 | 2007-06-07 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device |
KR100727258B1 (ko) * | 2005-12-29 | 2007-06-11 | 동부일렉트로닉스 주식회사 | 반도체 장치의 박막 및 금속 배선 형성 방법 |
US7417321B2 (en) * | 2005-12-30 | 2008-08-26 | Taiwan Semiconductor Manufacturing Co., Ltd | Via structure and process for forming the same |
KR100660915B1 (ko) * | 2006-02-03 | 2006-12-26 | 삼성전자주식회사 | 반도체 소자의 배선 형성 방법 |
JP4676350B2 (ja) * | 2006-02-14 | 2011-04-27 | ルネサスエレクトロニクス株式会社 | 半導体装置およびその製造方法 |
JP4728153B2 (ja) * | 2006-03-20 | 2011-07-20 | 富士通セミコンダクター株式会社 | 半導体装置の製造方法 |
EP1845554A3 (en) * | 2006-04-10 | 2011-07-13 | Imec | A method to create super secondary grain growth in narrow trenches |
US20080081464A1 (en) * | 2006-09-29 | 2008-04-03 | Tokyo Electron Limited | Method of integrated substrated processing using a hot filament hydrogen radical souce |
US8026605B2 (en) * | 2006-12-14 | 2011-09-27 | Lam Research Corporation | Interconnect structure and method of manufacturing a damascene structure |
US7785934B2 (en) * | 2007-02-28 | 2010-08-31 | International Business Machines Corporation | Electronic fuses in semiconductor integrated circuits |
JP2008244298A (ja) * | 2007-03-28 | 2008-10-09 | Tokyo Electron Ltd | 金属膜の成膜方法、多層配線構造の形成方法、半導体装置の製造方法、成膜装置 |
US7678298B2 (en) * | 2007-09-25 | 2010-03-16 | Applied Materials, Inc. | Tantalum carbide nitride materials by vapor deposition processes |
US7969708B2 (en) * | 2007-11-01 | 2011-06-28 | Taiwan Semiconductor Company, Ltd. | Alpha tantalum capacitor plate |
KR20090045677A (ko) | 2007-11-02 | 2009-05-08 | 주식회사 하이닉스반도체 | 반도체 소자의 금속배선 형성방법 |
US8703605B2 (en) * | 2007-12-18 | 2014-04-22 | Byung Chun Yang | High yield and high throughput method for the manufacture of integrated circuit devices of improved integrity, performance and reliability |
KR100914982B1 (ko) * | 2008-01-02 | 2009-09-02 | 주식회사 하이닉스반도체 | 반도체 소자의 금속배선 및 그 형성방법 |
JP5360209B2 (ja) * | 2009-06-25 | 2013-12-04 | 日本電気株式会社 | 半導体装置及びその製造方法 |
JP2011199021A (ja) * | 2010-03-19 | 2011-10-06 | Renesas Electronics Corp | 半導体装置及びその製造方法 |
JP5683388B2 (ja) * | 2010-08-19 | 2015-03-11 | 株式会社日立国際電気 | 半導体装置の製造方法、基板処理方法及び基板処理装置 |
KR20120061609A (ko) * | 2010-12-03 | 2012-06-13 | 삼성전자주식회사 | 집적회로 칩 및 이의 제조방법 |
US9269612B2 (en) * | 2011-11-22 | 2016-02-23 | Taiwan Semiconductor Manufacturing Company, Ltd. | Mechanisms of forming damascene interconnect structures |
US20140061918A1 (en) * | 2011-12-27 | 2014-03-06 | Christopher Jezewski | METHOD OF FORMING LOW RESISTIVITY TaNx/Ta DIFFUSION BARRIERS FOR BACKEND INTERCONNECTS |
US20130264620A1 (en) * | 2012-04-06 | 2013-10-10 | Texas Instruments Incorporated | Integrated circuit having ferroelectric memory with dense via barrier |
US8736056B2 (en) * | 2012-07-31 | 2014-05-27 | Taiwan Semiconductor Manufacturing Company, Ltd. | Device for reducing contact resistance of a metal |
US8778801B2 (en) * | 2012-09-21 | 2014-07-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for forming seed layer structure |
US8722531B1 (en) * | 2012-11-01 | 2014-05-13 | Taiwan Semiconductor Manufacturing Company, Ltd. | Barrier layer for copper interconnect |
US20140264872A1 (en) * | 2013-03-13 | 2014-09-18 | Taiwan Semiconductor Manufacturing Company, Ltd. | Metal Capping Layer for Interconnect Applications |
-
2012
- 2012-08-31 US US13/601,223 patent/US8736056B2/en active Active
-
2013
- 2013-01-14 CN CN201310013153.5A patent/CN103579187B/zh active Active
- 2013-01-29 KR KR1020130009677A patent/KR101515278B1/ko active IP Right Grant
-
2014
- 2014-05-23 US US14/286,859 patent/US9159666B2/en active Active
- 2014-07-07 DE DE102014109444.1A patent/DE102014109444B4/de active Active
- 2014-09-02 CN CN201410442910.5A patent/CN105097664B/zh active Active
-
2015
- 2015-01-16 TW TW104101436A patent/TWI550812B/zh active
- 2015-10-09 US US14/879,992 patent/US9892963B2/en active Active
-
2018
- 2018-02-12 US US15/894,051 patent/US10276431B2/en active Active
-
2019
- 2019-04-29 US US16/397,811 patent/US11177168B2/en active Active
Patent Citations (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN101088166A (zh) * | 2005-01-26 | 2007-12-12 | 出光兴产株式会社 | 薄膜晶体管及其制造方法、薄膜晶体管基板及其制造方法、使用该薄膜晶体管的液晶显示装置、有机el显示装置及透明导电叠层基板 |
Also Published As
Publication number | Publication date |
---|---|
CN105097664A (zh) | 2015-11-25 |
US20190252247A1 (en) | 2019-08-15 |
US20160111327A1 (en) | 2016-04-21 |
TW201545302A (zh) | 2015-12-01 |
US20140035143A1 (en) | 2014-02-06 |
US11177168B2 (en) | 2021-11-16 |
CN103579187A (zh) | 2014-02-12 |
DE102014109444B4 (de) | 2021-03-11 |
US9159666B2 (en) | 2015-10-13 |
KR101515278B1 (ko) | 2015-04-24 |
US10276431B2 (en) | 2019-04-30 |
DE102014109444A1 (de) | 2015-11-26 |
KR20140016796A (ko) | 2014-02-10 |
US8736056B2 (en) | 2014-05-27 |
TWI550812B (zh) | 2016-09-21 |
CN103579187B (zh) | 2017-10-27 |
US9892963B2 (en) | 2018-02-13 |
US20140332962A1 (en) | 2014-11-13 |
US20180174898A1 (en) | 2018-06-21 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
CN105097664B (zh) | 一种用于集成电路的结构和制造集成电路的方法 | |
TWI553713B (zh) | 半導體裝置之製造方法 | |
US9484302B2 (en) | Semiconductor devices and methods of manufacture thereof | |
JP2012064945A (ja) | 半導体装置および半導体装置の製造方法 | |
US10686124B2 (en) | Contact via structures | |
TW201436143A (zh) | 裝置與其形成方法 | |
US20090035902A1 (en) | Integrated method of fabricating a memory device with reduced pitch | |
TW202107534A (zh) | 完全對準消去處理及來自此處理的電子裝置 | |
US20170338146A1 (en) | Method for Patterning Interconnects | |
US20210327748A1 (en) | Semiconductor device and methods of manufacturing thereof | |
CN108140730B (zh) | 基板及处理基板的方法 | |
TW202114068A (zh) | 半導體裝置的形成方法 | |
US20050266679A1 (en) | Barrier structure for semiconductor devices | |
TW201523734A (zh) | 基板上形成互連圖案的方法 | |
US11817389B2 (en) | Multi-metal interconnects for semiconductor device structures | |
KR101665784B1 (ko) | 금속의 접촉 저항을 감소시키기 위한 장치 및 방법 | |
US20230307248A1 (en) | Method for fabricating semiconductor device with damascene structure by using etch stop layer | |
US11778929B2 (en) | Selective encapsulation for metal electrodes of embedded memory devices | |
CN108962818B (zh) | 电容结构的制作方法以及电容结构 | |
CN220021108U (zh) | 集成电路装置 | |
US11107727B2 (en) | Double metal double patterning with vias extending into dielectric | |
US20230298932A1 (en) | Method for fabricating photomask and method for fabricating semiconductor device with damascene structure | |
US20230307288A1 (en) | Method for fabricating semiconductor device with damascene structure |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
C06 | Publication | ||
PB01 | Publication | ||
C10 | Entry into substantive examination | ||
SE01 | Entry into force of request for substantive examination | ||
GR01 | Patent grant | ||
GR01 | Patent grant |