CN105009255B - 非晶层极紫外线光刻坯料及用于制造该坯料的方法与光刻系统 - Google Patents

非晶层极紫外线光刻坯料及用于制造该坯料的方法与光刻系统 Download PDF

Info

Publication number
CN105009255B
CN105009255B CN201480010492.0A CN201480010492A CN105009255B CN 105009255 B CN105009255 B CN 105009255B CN 201480010492 A CN201480010492 A CN 201480010492A CN 105009255 B CN105009255 B CN 105009255B
Authority
CN
China
Prior art keywords
level stack
amorphous metal
blank
metal layer
stack thing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201480010492.0A
Other languages
English (en)
Other versions
CN105009255A (zh
Inventor
拉尔夫·霍夫曼
凯文·莫雷斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN105009255A publication Critical patent/CN105009255A/zh
Application granted granted Critical
Publication of CN105009255B publication Critical patent/CN105009255B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/14Metallic material, boron or silicon
    • C23C14/16Metallic material, boron or silicon on metallic substrates or on substrates of boron or silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/14Metallic material, boron or silicon
    • C23C14/16Metallic material, boron or silicon on metallic substrates or on substrates of boron or silicon
    • C23C14/165Metallic material, boron or silicon on metallic substrates or on substrates of boron or silicon by cathodic sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/221Ion beam deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/35Sputtering by application of a magnetic field, e.g. magnetron sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/35Sputtering by application of a magnetic field, e.g. magnetron sputtering
    • C23C14/352Sputtering by application of a magnetic field, e.g. magnetron sputtering using more than one target
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/54Controlling or regulating the coating process
    • C23C14/541Heating or cooling of the substrates
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/7095Materials, e.g. materials for housing, stage or other support having particular properties, e.g. weight, strength, conductivity, thermal expansion coefficient
    • G03F7/70958Optical materials or coatings, e.g. with particular transmittance, reflectance or anti-reflection properties

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Health & Medical Sciences (AREA)
  • Public Health (AREA)
  • Epidemiology (AREA)
  • Environmental & Geological Engineering (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

一种集成极紫外线坯料生产系统包括:用于将基板置放在真空中的真空腔室;沉积系统,所述沉积系统用于沉积多层堆叠物而不从真空移除基板;以及处理系统,所述处理系统用于处理多层堆叠物上的层,所述层待沉积为非晶金属层。一种用于制造极紫外线掩模坯料的物理气相沉积腔室包括:靶材,所述靶材包含与硼合金的钼。一种极紫外线光刻系统包括:极紫外线光源;镜,所述镜用于导向来自所述极紫外线光源的光;中间掩模台,所述中间掩模台用于置放具有多层堆叠物的极紫外线掩模坯料,所述多层堆叠物具有非晶金属层;以及晶片台,所述晶片台用于置放晶片。一种极紫外线坯料包括:基板;具有非晶金属层的多层堆叠物;以及位于所述多层堆叠物之上的覆盖层。

Description

非晶层极紫外线光刻坯料及用于制造该坯料的方法与光刻 系统
相关申请案的交叉引用
本申请要求享有2013年3月12日的美国临时专利申请第61/778,351号的权益,且该申请的主题以引用的方式并入本文。
本申请含有与2013年12月23日申请的同时申请的美国专利申请第14/139,307号相关的主题,且该申请的主题以引用的方式并入本文。
本申请含有与2013年12月23日申请的同时申请的美国专利申请第14/139,415号相关的主题,且该申请的主题以引用的方式并入本文。
本申请含有与2013年12月23日申请的同时申请的美国专利申请第14/139,457号相关的主题,且该申请的主题以引用的方式并入本文。
本申请含有与2013年12月23日申请的同时申请的美国专利申请第14/139,507号相关的主题,且该申请的主题以引用的方式并入本文。
技术领域
本发明大体而言是涉及极紫外线(extreme ultraviolet)光刻坯料(blank),及用于制造这些极紫外线光刻坯料的方法及光刻系统。
背景技术
极紫外线光刻(EUV,亦称为软X射线投影光刻(soft x-ray projectionlithography))是用以替代用于制造0.13微米及更小的最小特征尺寸的半导体器件的深紫外线(deep ultraviolet)光刻的竞争者。
然而,通常在5纳米至40纳米波长范围中的极紫外线光在实质上所有材料中被强烈吸收。因此,极紫外线系统通过反射光而非通过透射光的透射来工作。通过使用涂布有非反射吸附剂掩模图案的掩模坯料、或反射元件、及一系列镜或透镜元件,将图案化的光化(actinic)光反射到涂布抗蚀剂的半导体晶片上。
极紫外线光刻系统的透镜元件及掩模坯料涂布有诸如钼及硅之类的材料的反射性多层涂层。已通过使用涂布有多层涂层的基板获取每一透镜元件或掩模坯料接近65%的反射值,这些多层涂层强烈地反射实质上在极窄紫外线带通(bandpass)内单个波长的光,该极窄紫外线带通例如是对于13纳米紫外线而言的12至14纳米的带通。
在半导体处理技术中有导致问题的多种类别的缺陷。不透明缺陷通常由多层涂层的顶端上的或掩模图案上的颗粒引起,在光应被反射时这些颗粒吸收了光。透明缺陷(clear defect)通常由多层涂层的顶端上的掩模图案中的小孔引起,在光应被吸收时,光被反射穿过这些小孔。而相位缺陷(phase defect)通常由多层涂层下方的刮痕及表面变化引起,这些刮痕及表面变化引起所反射的光的相变。这些相变导致光波干涉效应,这些光波干涉效应扭曲或改变半导体晶片表面上的抗蚀剂中待曝光图案。因为必须用于小于0.13微米(sub-0.13)最小特征尺寸的辐射的较短波长,因此此前不显著的刮痕及表面变化现变为无法忍受的。
尽管已在减少或除去颗粒缺陷中取得进展,且已对掩模中的不透明及透明缺陷的修复做了工作,但至今还未解决相位缺陷的问题。对于深紫外线光刻,表面经处理以维持低于60度的相变。仍有待开发用于极紫外线光刻的类似处理。
对于13纳米的光化波长,对于位于下面的表面中深度如3纳米这么小的刮痕而言,可发生从多层涂层反射的光中的180度相变。波长越短,此深度将更浅。类似地,在相同波长下,比一百(100)纳米的距离高出一(1)纳米更急剧的表面变化可导致类似的相变。这些相变可导致半导体晶片的表面处引起相位缺陷且不可恢复地损害这些半导体器件。
以往,用于深紫外线光刻的掩模坯料大体为玻璃,但是已提议将硅或超低热膨胀材料作为用于极紫外线光刻的替代物。不论该坯料为玻璃、硅还是超低热膨胀材料,都通过化学机械研磨、磁流变抛光或离子束研磨的这些工艺将该掩模坯料表面制造的尽可能光滑。有时将该工艺中留下的刮痕称为“刮痕-擦伤(scratch-dig)”痕迹,且这些刮痕的深度及宽度取决于用于研磨该掩模坯料的研磨剂中的颗粒的尺寸。对于可见光及深紫外线光刻,这些刮痕太小而不至于导致半导体晶片上图案中的相位缺陷。然而,对于极紫外线光刻,由于刮痕-擦伤痕迹将表现为相位缺陷,因此刮痕-擦伤痕迹为严重的问题。
由于EUV光刻所需的短照射波长的缘故,因此所使用的图案掩模必须为反射掩模,而不是当前光刻中使用的透射掩模。反射掩模由钼及硅的交替薄层的精确堆叠物组成,该堆叠物形成布拉格(Bragg)折射器或布拉格镜。因为多层堆叠物及小特征尺寸的性质,沉积多层堆叠物的基板表面中的任何缺陷将经放大且将影响最终产物。几纳米的尺度的缺陷可作为可印刷的缺陷显示在成品掩模上,且在沉积该多层堆叠物的前需要将这些缺陷从掩模坯料的表面除去。
掩模坯料为采用EUV光刻的关键问题。主要的障碍是关于掩模坯料的缺陷度及反射比。掩模上沉积有80层钼(Mo)及硅(Si)交替层的布拉格反射器。此层堆叠物的粗糙度很重要,且该粗糙度与所印刷的晶片上的接线边缘粗糙度有关。
对降低成本、改良效率及效能及满足竞争压力的需要为找寻解决这些问题的答案的迫切需要更增添急迫性。
尽管已长期搜寻这些问题的解决方案,但是先前发展尚未教导或建议任何解决方案,因此本领域的技术人员长期困惑于这些问题的解决方案。
发明内容
本发明的实施方式提供集成极紫外线坯料生产系统,该系统包括:真空腔室,该真空腔室用于将基板置放于真空中;沉积系统,该沉积系统用于沉积多层堆叠物,而不将基板从该真空中移除;以及处理系统,该处理系统用于处理多层堆叠物上的层,该层待沉积作为非晶金属层。
本发明的实施方式提供用于制造极紫外线掩模坯料的物理气相沉积腔室,该腔室包括:靶材,该靶材包含与硼合金的钼。
本发明的实施方式提供极紫外线光刻系统,该极紫外线光刻系统包括:极紫外线光源;镜,该镜用于导向来自该极紫外线光源的光;中间掩模(reticle)台,该中间掩模台用于置放具有多层堆叠物(该多层堆叠物具有非晶金属层)的极紫外线掩模坯料;以及晶片台,该晶片台用于置放晶片。
本发明的实施方式提供极紫外线坯料,该极紫外线坯料包括:基板;多层堆叠物,该多层堆叠物具有非晶金属层;以及覆盖(capping)层,该覆盖层位于该多层堆叠物之上。
除上述那些元件之外或代替上述这些元件,本发明的某些实施方式还具有其他元件。当参看附图阅读以下详细描述时,这些步骤或元件对于本领域的技术人员将变得很明显。
附图说明
图1为集成极紫外线(EUV)掩模生产系统。
图2为根据本发明的实施方式的EUV掩模坯料。
图3为制造的中间阶段中的EUV掩模坯料。
图4为制造的中间阶段中的EUV掩模坯料。
图5为用于EUV光刻系统的光学元件组(optical train)。
图6为EUV光刻系统。
具体实施方式
以下实施方式经足够详细地描述,以使得本领域的技术人员能够制作且使用本发明。应了解,其他实施方式将基于本揭示内容为明显的,且应了解,在不脱离本发明的范围的情况下可作出系统、工艺或机械改变。
在以下描述中,给定许多具体细节以提供对本发明的透彻理解。然而,显而易见,可在没有这些具体细节的情况下实施本发明。未详细揭示一些众所熟知的电路、系统设置及工艺步骤,以避免模糊本发明。
图示该系统的实施方式的这些图式为部分图解且未按比例绘制,且具体而言,一些尺寸用于呈现的清晰性,且一些尺寸在诸图中展示为夸大的。同样,尽管为便于描述,这些图式中的视图大体显示类似定向,但诸图中此描绘大部分是任意的。大体上,在任何定向上均可操作本发明。
在揭示且描述具有一些共用特征的多个实施方式的情况下,出于清晰性及便于这些实施方式的图解、描述以及理解,类似及相同特征将使用类似元件符号来描述。
为达说明的目的,如本文使用的术语“水平的”定义为平行于掩模坯料的平面或表面的平面,而不考虑该掩模坯料的定向。术语“垂直的”代表垂直于如刚定义的水平的方向。诸如“以上”、“以下”、“底部”、“顶端”、“侧面”(如在“侧壁”中)、“较高”、“较低”、“上部”、“在……上”及“在……下”的术语是相对于诸图中所示的水平平面来定义的。术语“在……上”指示元件之间存在直接接触。
如本文所使用的术语“处理”包括形成所描述的结构所需的材料或光刻胶的沉积、图案化、曝光、显影、蚀刻、清洁及/或移除材料或光刻胶。
本发明的实施方式通过CVD、PVD、ALD及可流动CVD将各种已建立技术用于沉积硅、氧化硅及具有相容热膨胀系数的相关层,以填充凹坑及遮盖缺陷。一经沉积,层的表面可能为足够光滑的及平坦的,以用于进一步多层堆叠物沉积,或可能随后使用多种成熟平滑或抛光技术(包括CMP、退火或离子束研磨)进一步平滑化该层的表面。
现参看图1,该图中图示集成极紫外线(EUV)掩模坯料生产系统100。整合EUV掩模坯料生产系统100包括掩模坯料负载及载体传送系统102,掩模坯料104经装载进入该系统102中。气室106提供进入晶片传送真空腔室108的进出。在图示的实施方式中,晶片传送真空腔室108含有两个真空腔室:第一真空腔室110及第二真空腔室112。在第一真空腔室110内为第一晶片传送系统114,且在第二真空腔室112内为第二晶片传送系统116。
晶片传送真空腔室108具有围绕腔室108周边的多个端口,这些多个端口用于附接多种其他系统。第一真空腔室110具有除气系统118、第一物理气相沉积系统120、第二物理气相沉积系统122及预清洁系统124。
第二真空腔室112可具有连接至腔室112的多种处理系统,这些处理系统诸如第一多阴极源126、可流动化学气相沉积(FCVD)系统128、固化系统130及第二多阴极源132。
第一晶片传送系统114能够使晶片(诸如晶片134)在气室106及围绕第一真空腔室110的周边的多种系统之间移动,且使这些晶片移动穿过连续真空中的狭缝阀门。第二晶片传送系统116能够使晶片(诸如晶片136)围绕第二真空腔室112移动,同时将晶片维持在连续真空中。
现参看图2,该图中图示根据本发明的实施方式的EUV掩模坯料200。EUV掩模坯料200具有玻璃、硅或其他超低热膨胀材料的超低热膨胀基板202。超低热膨胀材料包括熔融氧化硅、熔融石英、氟化钙、碳化硅、氧化硅-氧化钛合金或其他材料(该材料的热膨胀系数在这些材料的热膨胀系数范围之内)。
超低膨胀基板202的顶表面具有缺陷203(诸如凹坑、刮痕及颗粒),缺陷203由使用研磨剂的化学机械研磨(CMP)引起。有时将该工艺中留下的刮痕称为“凹坑”及/或“刮痕-擦伤”痕迹,且这些刮痕的深度及宽度取决于用于研磨该EUV掩模坯料200的研磨剂中的颗粒的尺寸。
已发现,EUV掩模坯料200中的缺陷可由沉积平坦化层204除去。通过沉积可流动CVD薄膜或用CVD、PVD或类似工艺沉积硅、氧化硅或相关薄膜,可在超低膨胀基板202之上形成平坦化层204或可流动薄膜。此步骤遮盖了位于超低膨胀基板202上的颗粒及其他缺陷。
在可流动CVD薄膜的情况下,可能不要求进一步处理,以在超低膨胀基板202之上获得可接受的光滑、平坦表面205。对于硅、氧化硅或相关薄膜,可能需要沉积后的平滑化。此平滑化可通过多种抛光方法(包括但不限于CMP、化学抛光、离子束研磨或退火)完成。若需要进一步平滑化,则这些平滑化技术也可应用于可流动的CVD薄膜。
多层堆叠物206在平坦化层204之上形成,以形成布拉格反射器。由于光学器件的透射性质及用于EUV的照射波长,使用反射光学器件,且多层堆叠物206可由高Z及低Z材料(诸如钼及硅)的交替层(这些交替层形成反射器)组成。
覆盖层208在多层堆叠物206之上形成。覆盖层可为诸如钌(Ru)或钌的非氧化化合物的材料,以帮助保护多层堆叠物206免受氧化及任何化学蚀刻剂(在掩模处理期间EUV掩模坯料200可暴露于该化学蚀刻剂)蚀刻。覆盖层208中也可使用其他材料,诸如氮化钛、碳化硼、氮化硅、氧化钌及碳化硅。
吸附剂层210置放于覆盖层208之上。吸附剂层210为对特定频率的EUV光(约13.5nm)具有高吸收系数的材料,且可为诸如铬、钽或以上两者的氮化物的材料。
抗反射涂层(ARC)212沉积于吸附剂层210之上。ARC 212可为诸如氮氧化钽或氧化硼钽的材料,。
背部夹持层214形成于超低膨胀基板202的后表面之上,以用于将基板夹持于静电夹盘(未图示)上,或用静电夹盘(未图示)夹持基板。
现参看图3,该图中图示制造的中间阶段中的EUV掩模坯料200。
在沉积多层堆叠物206期间,金属层300可为合金。已发现,合金沉积302能防止将金属层300沉积为多晶层。相反,可将金属层300维持为非晶金属层300。
例如,合金沉积302可在图1的第二真空腔室112中执行,在该腔室112中,第一多阴极源126为硼合金钼靶材,且第二多阴极源132为硅靶材。第一多阴极源126及第二多阴极源132可相对于经调适以接收基板202的可旋转基架成角度。硼合金将钼维持在非晶状态。通过将金属层300维持在非晶相,多层堆叠物206的总粗糙度经改良比不具有非晶状态的钼具有更好的EUV反射率(具有较少的反光)。
在一替代方法中,已发现可将气体304(诸如氮气)添加至溅射气体,以破坏沉积的钼的结构,以亦在金属层300中形成非晶相。
现参看图4,该图中图示制造的中间阶段中的EUV掩模坯料200。EUV掩模坯料200固持在在处理系统中,该处理系统诸如具有冷却通道406的静电夹盘404。静电夹盘404为可旋转基架,该可旋转基架可经调适以接收基板202。
离子束、等离子体或磁控管溅射工具132用于在基板之上沉积多层堆叠物。硅层作为非晶层沉积,而钼层为多晶层。此形态导致钼层较高的表面粗糙度,该粗糙度随后传播穿过堆叠物。为完成所需的薄膜堆叠物光滑度,有时采用离子束研磨以减少粗糙度。此为不满足生产力要求的繁琐的工艺流程。
磁性随机存取存储器(MRAM)中已显示B合金可防止CoFe层沉积为多晶层。B合金层也显示较低的粗糙度。
已发现,通过使用合金钼靶材可将同一概念应用至钼沉积。通过在钼层中维持非晶相,多层堆叠物的总粗糙度经改良且导致具有较少闪光的更好的EUV反射率。这些合金材料的实例为硼及碳。另一可能性为将少量的氮添加至溅射气体,以破坏沉积的钼的结构。
已发现另一技术,该技术可经采用以抑制钼层中的晶粒生长,该技术为冷却该基板至较低温度。此举可通过在沉积之前预冷却该基板及在沉积工艺期间使用水或气体冷却主动地冷却基板实现。
通过在钼层中维持非晶相,多层堆叠物的总粗糙度经改良且导致具有较少闪光的更好的EUV反射率。该合金的实例为硼。另一可能性为将少量的氮添加至溅射气体,以破坏沉积的钼层之上的结构。
可经采用以抑制钼层中的晶粒生长的另一技术为冷却该基板至较低温度。此举可通过在沉积之前预冷却该基板及在沉积工艺期间主动地冷却基板实现。
所得方法及系统为简单的、成本有效的、不复杂的、高度通用且有效的,通过调适已知技术可惊人地且不明显地实施该方法及系统,且因此该方法及系统易于适用于有效地且经济地制造EUV掩模坯料。
此方法的优势在于此方法为基板独立的,且因此该方法可用于多种基板及品质的基板上。使用玻璃基板是潜在有可能的,该玻璃基板具有EUV坯料所要求的性质,但在抛光后不具有原子级平坦、光滑的表面。此独立性使得使用不同基板供应商成为可能,且将基板制备所预想不到的变化及供应商的抛光的影响降至最低。
本发明的实施方式为EUV掩模坯料提供原子级平坦、低缺陷、光滑的表面。然而,本发明的实施方式也可用于制造其他类型的坯料,诸如镜。在玻璃基板上,本发明的实施方式可用于形成EUV镜。另外,本发明的实施方式可应用于其他原子级平坦、低缺陷、光滑的表面结构,该表面结构用于UV、DUV、电子束、可见光、红外线、离子束、X射线及其他类型的半导体光刻中。本发明的实施方式也可用于多种尺寸结构中,这些尺寸结构可在从晶片尺度至装置级且甚至至更大区域显示及太阳能应用的范围。
本发明的另一重要方面为本发明有益地支援且服务于降低成本、简化系统及增加效能的历史趋势。
因此,本发明的这些及其他有益的方面促进此项技术当前水平进化至至少下一水平。
已发现,在沉积多层堆叠物206期间,可通过冷却超低膨胀基板202在金属层400中形成非晶相。多层堆叠物206的冷却抑制钼层中的晶粒生长。通过预冷却超低膨胀基板202及在沉积工艺期间使用水主动冷却超低膨胀基板202来抑制晶粒生长。
现参看图5,该图中图示用于EUV光刻系统的光学元件组500。光学元件组500具有用于形成EUV光且将该EUV光收集在收集器504中的等离子体源502。收集器504将光提供至场刻面镜508,该场刻面镜508为照明器系统506的一部分,该照明器系统506进一步包括瞳孔刻面镜510。照明器系统506将EUV光提供至中间掩模512(该中间掩模为图1的掩模坯料104的完全处理版本),该中间掩模512反射EUV光穿过投影光学器件514且将EUV光反射至晶片516上。
现参看图6,该图中图示EUV光刻系统600。EUV光刻系统600包括EUV光源区域602、中间掩模台604及作为光学元件组600的附件的晶片台606。中间掩模台604支撑图5的中间掩模512,该中间掩模512为图1的掩模坯料104的完全处理版本。
已发现,EUV镜508及EUV掩模坯料104允许EUV光刻系统600克服在晶粒及晶片上生产超小型集成电路所面对的许多障碍。
尽管已结合特定最佳模式描述本发明,但应了解,许多替代物、修改及变化将根据上述描述对于本领域的技术人员为显而易见的。因此,本文意欲包含在所包括的权利要求内所有这些替代、修改及变化。以上本文中阐述或附图中所示的全部物质应以说明性而非限制意义来解释。

Claims (28)

1.一种集成极紫外线坯料生产系统,所述系统包含:
真空腔室,所述真空腔室用于将基板置放于真空中;
第一沉积系统,所述第一沉积系统用于沉积多层堆叠物,而不将所述基板从所述真空移除,所述多层堆叠物反射极紫外线光;
处理系统,所述处理系统用于处理所述多层堆叠物上的层,所述层待沉积作为非晶金属层;以及
第二沉积系统,所述第二沉积系统用于沉积额外层,以形成极紫外线掩模坯料,所述额外层包含覆盖层和位于所述覆盖层之上的吸收剂层。
2.如权利要求1所述的系统,其中所述处理系统包括所述非晶金属层的合金沉积。
3.如权利要求1所述的系统,其中所述处理系统提供气体,以破坏所述非晶金属层的晶态结构。
4.如权利要求1所述的系统,其中所述处理系统冷却所述多层堆叠物,以抑制所述非晶金属层的晶粒生长。
5.如权利要求1所述的系统,其中所述沉积系统包括用于溅射所述多层堆叠物的磁控管。
6.如权利要求1所述的系统,所述系统进一步包含第三沉积系统,所述第三沉积系统用于沉积额外层,以形成极紫外线镜。
7.一种极紫外线坯料,所述极紫外线坯料包含:
基板;
多层堆叠物,所述多层堆叠物具有位于所述基板之上的非晶金属层,所述多层堆叠物反射极紫外线光;
覆盖层,所述覆盖层位于所述多层堆叠物之上;以及
吸收剂层,所述吸收剂层吸收极紫外线光,所述吸收剂层位于所述覆盖层之上。
8.如权利要求7所述的坯料,其中所述非晶金属层为合金非晶金属层。
9.如权利要求7所述的坯料,其中所述非晶金属层与硼、氮或碳合金。
10.如权利要求7所述的坯料,其中所述非晶金属层为非晶钼。
11.如权利要求7所述的坯料,其中所述非晶金属层具有破坏的晶态结构。
12.如权利要求7所述的坯料,其中所述非晶金属层已抑制晶粒生长。
13.如权利要求7所述的坯料,其中所述多层堆叠物形成极紫外线掩模坯料。
14.如权利要求7所述的坯料,其中所述多层堆叠物形成极紫外线镜。
15.如权利要求7所述的坯料,其中所述基板为超低热膨胀材料。
16.如权利要求7所述的坯料,其中所述基板为玻璃。
17.一种制造极紫外线坯料的方法,所述方法包含以下步骤:
提供基板;
在所述基板之上形成具有非晶金属层的多层堆叠物,所述多层堆叠物形成为反射极紫外线光;
在所述多层堆叠物之上形成覆盖层;以及
在所述覆盖层之上形成用于吸收极紫外线光的吸收剂层。
18.如权利要求17所述的方法,其中形成具有所述非晶金属层的所述多层堆叠物的步骤形成合金非晶金属层。
19.如权利要求17所述的方法,其中形成具有所述非晶金属层的所述多层堆叠物的步骤通过使用合金溅射所述金属来沉积所述非晶金属层。
20.如权利要求17所述的方法,其中形成具有所述非晶金属层的所述多层堆叠物的步骤通过在冷却所述基板的同时溅射来沉积所述非晶金属层。
21.如权利要求17所述的方法,其中形成具有所述非晶金属层的所述多层堆叠物的步骤形成与硼、氮或碳合金的所述非晶金属层。
22.如权利要求17所述的方法,其中形成具有所述非晶金属层的所述多层堆叠物的步骤形成非晶钼的所述非晶金属层。
23.如权利要求17所述的方法,其中形成具有所述非晶金属层的所述多层堆叠物的步骤形成破坏晶态结构的所述非晶金属层。
24.如权利要求17所述的方法,其中形成具有所述非晶金属层的所述多层堆叠物的步骤形成已抑制晶粒生长的所述非晶金属层。
25.如权利要求17所述的方法,其中形成所述多层堆叠物的步骤形成极紫外线掩模坯料。
26.如权利要求17所述的方法,其中形成所述多层堆叠物的步骤形成极紫外线镜。
27.如权利要求17所述的方法,其中提供所述基板的步骤提供超低热膨胀材料的基板。
28.如权利要求17所述的方法,其中提供所述基板的步骤提供玻璃基板。
CN201480010492.0A 2013-03-12 2014-03-12 非晶层极紫外线光刻坯料及用于制造该坯料的方法与光刻系统 Active CN105009255B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201361778351P 2013-03-12 2013-03-12
US61/778,351 2013-03-12
US14/139,371 2013-12-23
US14/139,371 US9612521B2 (en) 2013-03-12 2013-12-23 Amorphous layer extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
PCT/US2014/025116 WO2014165298A1 (en) 2013-03-12 2014-03-12 Amorphous layer extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor

Publications (2)

Publication Number Publication Date
CN105009255A CN105009255A (zh) 2015-10-28
CN105009255B true CN105009255B (zh) 2017-11-03

Family

ID=51525875

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201480010492.0A Active CN105009255B (zh) 2013-03-12 2014-03-12 非晶层极紫外线光刻坯料及用于制造该坯料的方法与光刻系统

Country Status (7)

Country Link
US (2) US9612521B2 (zh)
JP (1) JP6599846B2 (zh)
KR (1) KR102207245B1 (zh)
CN (1) CN105009255B (zh)
SG (2) SG10201707331UA (zh)
TW (1) TWI609231B (zh)
WO (1) WO2014165298A1 (zh)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140272684A1 (en) 2013-03-12 2014-09-18 Applied Materials, Inc. Extreme ultraviolet lithography mask blank manufacturing system and method of operation therefor
US9632411B2 (en) 2013-03-14 2017-04-25 Applied Materials, Inc. Vapor deposition deposited photoresist, and manufacturing and lithography systems therefor
CN105684128A (zh) * 2013-12-22 2016-06-15 应用材料公司 具有夹盘组件的远紫外线光刻系统及其制造方法
US9690016B2 (en) 2014-07-11 2017-06-27 Applied Materials, Inc. Extreme ultraviolet reflective element with amorphous layers and method of manufacturing thereof
US9581890B2 (en) 2014-07-11 2017-02-28 Applied Materials, Inc. Extreme ultraviolet reflective element with multilayer stack and method of manufacturing thereof
US9739913B2 (en) 2014-07-11 2017-08-22 Applied Materials, Inc. Extreme ultraviolet capping layer and method of manufacturing and lithography thereof
US10459352B2 (en) 2015-08-31 2019-10-29 Taiwan Semiconductor Manufacturing Company, Ltd. Mask cleaning
US10256132B2 (en) * 2016-04-28 2019-04-09 Varian Semiconductor Equipment Associates, Inc. Reticle processing system
US11143951B2 (en) * 2018-04-30 2021-10-12 Taiwan Semiconductor Manufacturing Co., Ltd. Pellicle for an EUV lithography mask and a method of manufacturing thereof
TWI821300B (zh) * 2018-06-19 2023-11-11 美商應用材料股份有限公司 具有護罩座的沉積系統
JP7401356B2 (ja) 2019-03-27 2023-12-19 Hoya株式会社 多層反射膜付き基板、反射型マスクブランク及び反射型マスク、並びに半導体装置の製造方法
JP7288782B2 (ja) * 2019-03-27 2023-06-08 Hoya株式会社 多層反射膜付き基板、反射型マスクブランク及び反射型マスク、並びに半導体装置の製造方法
WO2021060253A1 (ja) 2019-09-26 2021-04-01 Hoya株式会社 多層反射膜付き基板、反射型マスクブランク、反射型マスク、及び半導体装置の製造方法
US11442356B2 (en) * 2020-05-11 2022-09-13 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography mask with an amorphous capping layer

Family Cites Families (82)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB8921666D0 (en) 1989-09-26 1989-11-08 Peatgrange Ivd Limited Ion vapour deposition apparatus and method
US5420067A (en) 1990-09-28 1995-05-30 The United States Of America As Represented By The Secretary Of The Navy Method of fabricatring sub-half-micron trenches and holes
JP2850580B2 (ja) * 1991-07-16 1999-01-27 日本電気株式会社 薄膜の製造方法
JPH06112009A (ja) * 1992-09-28 1994-04-22 Ulvac Japan Ltd 高抵抗膜および高抵抗膜の製造方法
JP3281173B2 (ja) * 1993-03-15 2002-05-13 健 増本 高硬度薄膜及びその製造方法
US5645646A (en) 1994-02-25 1997-07-08 Applied Materials, Inc. Susceptor for deposition apparatus
US5928389A (en) 1996-10-21 1999-07-27 Applied Materials, Inc. Method and apparatus for priority based scheduling of wafer processing within a multiple chamber semiconductor wafer processing tool
US6096100A (en) 1997-12-12 2000-08-01 Texas Instruments Incorporated Method for processing wafers and cleaning wafer-handling implements
US6010916A (en) 1997-12-05 2000-01-04 Advanced Micro Devices, Inc. Method for improving semiconductor wafer processing
US6142641A (en) 1998-06-18 2000-11-07 Ultratech Stepper, Inc. Four-mirror extreme ultraviolet (EUV) lithography projection system
US6749814B1 (en) 1999-03-03 2004-06-15 Symyx Technologies, Inc. Chemical processing microsystems comprising parallel flow microreactors and methods for using same
US6319635B1 (en) * 1999-12-06 2001-11-20 The Regents Of The University Of California Mitigation of substrate defects in reticles using multilayer buffer layers
US20020015855A1 (en) 2000-06-16 2002-02-07 Talex Sajoto System and method for depositing high dielectric constant materials and compatible conductive materials
JP2002090978A (ja) 2000-09-12 2002-03-27 Hoya Corp 位相シフトマスクブランクの製造方法、及び位相シフトマスクブランクの製造装置
US6673524B2 (en) * 2000-11-17 2004-01-06 Kouros Ghandehari Attenuating extreme ultraviolet (EUV) phase-shifting mask fabrication method
JP3939132B2 (ja) 2000-11-22 2007-07-04 Hoya株式会社 多層膜付き基板、露光用反射型マスクブランク、露光用反射型マスクおよびその製造方法、並びに半導体の製造方法
US6656643B2 (en) 2001-02-20 2003-12-02 Chartered Semiconductor Manufacturing Ltd. Method of extreme ultraviolet mask engineering
WO2003000950A1 (en) * 2001-02-20 2003-01-03 Honeywell International Inc. Topologically tailored sputtering targets
US6840616B2 (en) 2001-03-29 2005-01-11 Scott Summers Air folder adjuster apparatus and method
US6396900B1 (en) * 2001-05-01 2002-05-28 The Regents Of The University Of California Multilayer films with sharp, stable interfaces for use in EUV and soft X-ray application
US20030008148A1 (en) 2001-07-03 2003-01-09 Sasa Bajt Optimized capping layers for EUV multilayers
JP2003133205A (ja) * 2001-10-24 2003-05-09 Oki Electric Ind Co Ltd 反射型マスク、反射型マスク製造方法及び反射型マスク洗浄方法
JP4009102B2 (ja) * 2001-12-19 2007-11-14 独立行政法人科学技術振興機構 半導体特性を示すアモルファス鉄シリサイド膜とその作製方法
US7455955B2 (en) 2002-02-27 2008-11-25 Brewer Science Inc. Planarization method for multi-layer lithography processing
US6734117B2 (en) 2002-03-12 2004-05-11 Nikon Corporation Periodic clamping method and apparatus to reduce thermal stress in a wafer
EP2317384B1 (en) * 2002-04-11 2016-11-09 Hoya Corporation Reflective mask blank, reflective mask and methods of producing the mask blank and the mask
US6835503B2 (en) 2002-04-12 2004-12-28 Micron Technology, Inc. Use of a planarizing layer to improve multilayer performance in extreme ultra-violet masks
US6806006B2 (en) 2002-07-15 2004-10-19 International Business Machines Corporation Integrated cooling substrate for extreme ultraviolet reticle
US7186630B2 (en) 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
US20040091618A1 (en) 2002-11-08 2004-05-13 Park Han-Su Photoresist depositon apparatus and method for forming photoresist film using the same
DE10302342A1 (de) 2003-01-17 2004-08-05 Schott Glas Substrat für die EUV-Mikrolithographie und Herstellverfahren hierfür
US6908713B2 (en) 2003-02-05 2005-06-21 Intel Corporation EUV mask blank defect mitigation
JP3683261B2 (ja) * 2003-03-03 2005-08-17 Hoya株式会社 擬似欠陥を有する反射型マスクブランクス及びその製造方法、擬似欠陥を有する反射型マスク及びその製造方法、並びに擬似欠陥を有する反射型マスクブランクス又は反射型マスクの製造用基板
US7026076B2 (en) * 2003-03-03 2006-04-11 Freescale Semiconductor, Inc. Method of patterning photoresist on a wafer using a reflective mask with a multi-layer ARC
DE10317792A1 (de) * 2003-04-16 2004-11-11 Schott Glas Maskenrohling zur Verwendung in der EUV-Lithographie und Verfahren zu dessen Herstellung
SG115693A1 (en) 2003-05-21 2005-10-28 Asml Netherlands Bv Method for coating a substrate for euv lithography and substrate with photoresist layer
WO2004106986A2 (en) 2003-05-29 2004-12-09 Applied Materials Inc. Maskless fabrication of waveguide mirrors
KR100520222B1 (ko) 2003-06-23 2005-10-11 삼성전자주식회사 반도체 소자에서의 듀얼 게이트 산화막 구조 및 그에 따른형성방법
US7326502B2 (en) 2003-09-18 2008-02-05 Intel Corporation Multilayer coatings for EUV mask substrates
KR100680405B1 (ko) 2003-11-19 2007-02-08 주식회사 하이닉스반도체 Euv용 포토레지스트 조성물 및 이를 이용한포토레지스트 패턴 형성 방법
US7005227B2 (en) 2004-01-21 2006-02-28 Intel Corporation One component EUV photoresist
US7193228B2 (en) * 2004-03-10 2007-03-20 Cymer, Inc. EUV light source optical elements
JP4542807B2 (ja) 2004-03-31 2010-09-15 東京エレクトロン株式会社 成膜方法および成膜装置、ならびにゲート絶縁膜の形成方法
US7198872B2 (en) 2004-05-25 2007-04-03 International Business Machines Corporation Light scattering EUVL mask
EP1791168A1 (en) * 2004-09-17 2007-05-30 Asahi Glass Company, Limited Reflective mask blank for euv lithography and method for producing same
US8293430B2 (en) 2005-01-27 2012-10-23 Applied Materials, Inc. Method for etching a molybdenum layer suitable for photomask fabrication
US7336416B2 (en) 2005-04-27 2008-02-26 Asml Netherlands B.V. Spectral purity filter for multi-layer mirror, lithographic apparatus including such multi-layer mirror, method for enlarging the ratio of desired radiation and undesired radiation, and device manufacturing method
US20060275547A1 (en) 2005-06-01 2006-12-07 Lee Chung J Vapor Phase Deposition System and Method
US7432201B2 (en) 2005-07-19 2008-10-07 Applied Materials, Inc. Hybrid PVD-CVD system
TWI295816B (en) * 2005-07-19 2008-04-11 Applied Materials Inc Hybrid pvd-cvd system
JP4703354B2 (ja) * 2005-10-14 2011-06-15 Hoya株式会社 多層反射膜付き基板、その製造方法、反射型マスクブランクおよび反射型マスク
DE102005052000B3 (de) 2005-10-31 2007-07-05 Advanced Micro Devices, Inc., Sunnyvale Halbleiterbauelement mit einer Kontaktstruktur auf der Grundlage von Kupfer und Wolfram
US7678511B2 (en) 2006-01-12 2010-03-16 Asahi Glass Company, Limited Reflective-type mask blank for EUV lithography
US7712333B2 (en) 2006-03-29 2010-05-11 Asahi Glass Company, Limited Method for smoothing a surface of a glass substrate for a reflective mask blank used in EUV lithography
US7736820B2 (en) 2006-05-05 2010-06-15 Asml Netherlands B.V. Anti-reflection coating for an EUV mask
KR20070114025A (ko) * 2006-05-25 2007-11-29 주식회사 에스앤에스텍 블랭크 마스크 및 블랭크 마스크 제조 방법
US7825038B2 (en) 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
JP4801550B2 (ja) 2006-09-26 2011-10-26 富士通株式会社 レジスト組成物、レジストパターンの形成方法、及び半導体装置の製造方法
US7892719B2 (en) 2006-11-03 2011-02-22 Intel Corporation Photonic crystal EUV photoresists
EP2087510A4 (en) * 2006-11-27 2010-05-05 Nikon Corp OPTICAL ELEMENT, ASSOCIATED EXPOSURE UNIT AND METHOD FOR PRODUCING THE DEVICE
JP5018787B2 (ja) * 2006-12-27 2012-09-05 旭硝子株式会社 Euvリソグラフィ用反射型マスクブランク
TWI427334B (zh) * 2007-02-05 2014-02-21 Zeiss Carl Smt Gmbh Euv蝕刻裝置反射光學元件
US20090278233A1 (en) 2007-07-26 2009-11-12 Pinnington Thomas Henry Bonded intermediate substrate and method of making same
WO2009031232A1 (ja) 2007-09-07 2009-03-12 Canon Anelva Corporation スパッタリング方法および装置
JP5039495B2 (ja) 2007-10-04 2012-10-03 ルネサスエレクトロニクス株式会社 マスクブランク検査方法、反射型露光マスクの製造方法、反射型露光方法および半導体集積回路の製造方法
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7541297B2 (en) 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US20090176367A1 (en) 2008-01-08 2009-07-09 Heidi Baks OPTIMIZED SiCN CAPPING LAYER
WO2009123172A1 (ja) * 2008-03-31 2009-10-08 Hoya株式会社 フォトマスクブランク、フォトマスクおよびフォトマスクブランクの製造方法
DE102008042212A1 (de) * 2008-09-19 2010-04-01 Carl Zeiss Smt Ag Reflektives optisches Element und Verfahren zu seiner Herstellung
CN102782531B (zh) * 2009-12-15 2014-12-17 卡尔蔡司Smt有限责任公司 用于极紫外光刻的反射光学元件
KR20130111524A (ko) * 2010-07-27 2013-10-10 아사히 가라스 가부시키가이샤 Euv 리소그래피용 반사층 형성 기판, 및 euv 리소그래피용 반사형 마스크 블랭크
TW201224190A (en) 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
KR20120042169A (ko) 2010-10-22 2012-05-03 삼성모바일디스플레이주식회사 액정 표시 장치 및 그 제조 방법
JP6013720B2 (ja) 2010-11-22 2016-10-25 芝浦メカトロニクス株式会社 反射型マスクの製造方法、および反射型マスクの製造装置
US8475977B2 (en) 2010-12-02 2013-07-02 Intermolecular, Inc Protective cap for extreme ultraviolet lithography masks
US8562794B2 (en) 2010-12-14 2013-10-22 Asahi Glass Company, Limited Process for producing reflective mask blank for EUV lithography and process for producing substrate with functional film for the mask blank
NL2007768A (en) 2010-12-14 2012-06-18 Asml Netherlands Bv Substrate holder, lithographic apparatus, device manufacturing method, and method of manufacturing a substrate holder.
CN103069056B (zh) 2011-03-14 2015-11-25 富士电机株式会社 氧化物基材及其制备方法
NL2009487A (en) 2011-10-14 2013-04-16 Asml Netherlands Bv Substrate holder, lithographic apparatus, device manufacturing method, and method of manufacturing a substrate holder.
US8691476B2 (en) * 2011-12-16 2014-04-08 Taiwan Semiconductor Manufacturing Company, Ltd. EUV mask and method for forming the same
US9051649B2 (en) 2013-03-11 2015-06-09 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor film deposition apparatus and method with improved heater cooling efficiency

Also Published As

Publication number Publication date
US9612521B2 (en) 2017-04-04
KR20150130371A (ko) 2015-11-23
TWI609231B (zh) 2017-12-21
SG10201707331UA (en) 2017-10-30
WO2014165298A1 (en) 2014-10-09
KR102207245B1 (ko) 2021-01-25
US20140268081A1 (en) 2014-09-18
JP2016519329A (ja) 2016-06-30
TW201447471A (zh) 2014-12-16
US20170168383A1 (en) 2017-06-15
CN105009255A (zh) 2015-10-28
JP6599846B2 (ja) 2019-10-30
SG11201506468PA (en) 2015-09-29

Similar Documents

Publication Publication Date Title
CN105009255B (zh) 非晶层极紫外线光刻坯料及用于制造该坯料的方法与光刻系统
JP7285682B2 (ja) 平坦化された極端紫外線リソグラフィブランク及びそのための製造及びリソグラフィシステム
US20200218145A1 (en) Extreme Ultraviolet Mask Blank With Multilayer Absorber And Method Of Manufacture
TWI631411B (zh) 超平滑層紫外線微影術鏡及基板與其製造及微影術系統
TWI654151B (zh) 用於紫外線微影的玻璃陶瓷及其製造方法
TW201442136A (zh) 極限紫外線微影遮罩坯料製造系統及用於該製造系統之操作方法
JP2004246366A (ja) フォトマスク・ブランク、フォトマスク、フォトマスク・ブランクを製造するための方法と装置
JPWO2016098452A1 (ja) マスクブランク用基板、マスクブランク及びこれらの製造方法、転写用マスクの製造方法並びに半導体デバイスの製造方法
JP2023511124A (ja) 極紫外線マスクブランクハードマスク材料
JP7295260B2 (ja) 多層吸収体を備えた極紫外線マスクブランクおよび製造方法
WO2022149417A1 (ja) マスクブランク用基板、多層反射膜付基板、マスクブランク、転写用マスクの製造方法、及び半導体デバイスの製造方法
TW202217430A (zh) Euvl用玻璃基板、及euvl用光罩基底
TW202232230A (zh) Euvl用玻璃基板、及euvl用光罩基底
JP2022073953A (ja) Euvl用ガラス基板、及びeuvl用マスクブランク
JP2022073952A (ja) Euvl用ガラス基板、及びeuvl用マスクブランク

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant