CN104620097A - 检验晶片及/或预测形成于晶片上的装置的一或多个特性 - Google Patents

检验晶片及/或预测形成于晶片上的装置的一或多个特性 Download PDF

Info

Publication number
CN104620097A
CN104620097A CN201380047045.8A CN201380047045A CN104620097A CN 104620097 A CN104620097 A CN 104620097A CN 201380047045 A CN201380047045 A CN 201380047045A CN 104620097 A CN104620097 A CN 104620097A
Authority
CN
China
Prior art keywords
defect
nude film
overlay
wafer
error
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201380047045.8A
Other languages
English (en)
Other versions
CN104620097B (zh
Inventor
G·马尔库奇利
A·威德曼
E·张
J·鲁滨逊
A·帕克
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
KLA Corp
Original Assignee
KLA Tencor Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by KLA Tencor Corp filed Critical KLA Tencor Corp
Publication of CN104620097A publication Critical patent/CN104620097A/zh
Application granted granted Critical
Publication of CN104620097B publication Critical patent/CN104620097B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/0002Inspection of images, e.g. flaw detection
    • G06T7/0004Industrial image inspection
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects
    • G01N21/95607Inspecting patterns on the surface of objects using a comparative method
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/0002Inspection of images, e.g. flaw detection
    • G06T7/0004Industrial image inspection
    • G06T7/001Industrial image inspection using an image reference approach
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/10Image acquisition modality
    • G06T2207/10056Microscopic image
    • G06T2207/10061Microscopic image from scanning electron microscope
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/30Subject of image; Context of image processing
    • G06T2207/30108Industrial image inspection
    • G06T2207/30148Semiconductor; IC; Wafer

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Quality & Reliability (AREA)
  • Theoretical Computer Science (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Manufacturing & Machinery (AREA)
  • Biochemistry (AREA)
  • Analytical Chemistry (AREA)
  • Pathology (AREA)
  • Immunology (AREA)
  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • General Health & Medical Sciences (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Investigating Materials By The Use Of Optical Means Adapted For Particular Applications (AREA)

Abstract

本发明提供用于检验晶片及/或预测形成于晶片上的装置的一或多个特性的方法。一种方法包含:获取印刷于晶片上的多个裸片的图像,所述裸片中的每一者是通过对所述晶片执行双重图案化光刻过程而印刷,且所述裸片包含以针对所述双重图案化光刻过程的叠对的标称值印刷的两个或两个以上裸片以及以所述叠对的经调制值印刷的一或多个裸片;将针对以所述标称值印刷的所述多个裸片所获取的所述图像与针对以所述经调制值印刷的所述多个裸片所获取的所述图像进行比较;及基于所述比较步骤的结果来检测以所述经调制值印刷的所述多个裸片中的缺陷。

Description

检验晶片及/或预测形成于晶片上的装置的一或多个特性
技术领域
本发明一般来说涉及用于检验晶片及/或预测形成于晶片上的装置的一或多个特性的方法。
背景技术
以下描述及实例并不由于其包含于此章节中而被承认为是现有技术。
制作例如集成电路的半导体装置涉及在晶片上形成多个层。在所述晶片的不同层上形成不同结构,且不同层上的一些结构打算彼此电连接,而不同层上的其它结构打算彼此绝缘。如果一个层上的结构并未与其它层的其它结构恰当地对准,那么所述结构的未对准可阻止一些结构的恰当电连接及/或其它结构的恰当绝缘。因此,在可行的半导体装置的成功制造中,测量及控制品片上的多个层的对准是重要的。
一般来说,晶片上的一个层与另一层的对准通过在对所述晶片执行的光刻过程的曝光步骤中的晶片的对准来确定。特定来说,由于光刻过程涉及在抗蚀剂材料中形成经图案化特征、接着使用其它制作过程将所述经图案化特征转印到装置材料,因此所述光刻过程通常控制将所述经图案化特征(及因此由所述经图案化特征形成的装置结构)形成于晶片上的何处。因此,在制作过程中,在光刻过程之前、在其期间及/或在其之后测量及控制品片的对准及因此一个层上的特征相对于另一层上的特征的叠对是关键步骤。
光刻过程的除叠对以外的参数也影响形成于晶片上的所得经图案化特征。举例来说,在光刻过程中所使用的曝光工具的聚焦及剂量可影响经图案化特征的各种特性,例如临界尺寸、侧壁角度及高度。如果经图案化特征并未形成于此些特性的规范内,那么由所述经图案化特征形成的装置结构可能不会彼此恰当地绝缘或彼此恰当地连接。另外,此些特性还可影响形成于晶片上的装置的电特性。因此,监视及控制光刻过程的多个参数以确保制作可行的装置且还确保制作具有适合功能性的装置是重要的。
因此,开发可用于通过在制作装置之前消除设计问题且监视及控制光刻过程来改进制作于晶片上的装置的系统及/或方法将是有利的。
发明内容
各种实施例的以下描述不应以任何方式视为限制所附权利要求书的标的物。
一个实施例涉及一种用于检验晶片的方法。所述方法包含:获取印刷于晶片上的多个裸片的图像。所述多个裸片中的每一者是通过对所述晶片执行双重图案化光刻过程而印刷。所述多个裸片包含以针对所述双重图案化光刻过程的叠对的标称值印刷的两个或两个以上裸片以及以所述叠对的经调制值印刷的一或多个裸片。所述方法还包含:将针对以所述标称值印刷的所述多个裸片所获取的所述图像与针对以所述经调制值印刷的所述多个裸片所获取的所述图像进行比较。另外,所述方法包含:基于所述比较步骤的结果来检测以所述经调制值印刷的所述多个裸片中的缺陷。
另一实施例涉及一种用于预测形成于晶片上的装置的一或多个特性的方法。所述方法包含:对使用光刻过程形成于晶片上的一或多个裸片执行度量衡。所述方法还包含:基于所述度量衡的结果来确定所述光刻过程在所述一或多个裸片中的叠对误差、聚焦误差、剂量误差或其某一组合。另外,所述方法包含:通过将所述叠对误差、聚焦误差、剂量误差或其某一组合应用于针对所述一或多个裸片的设计数据来模拟由所述一或多个裸片形成的装置的一或多个特性,例如外形。
可如本文中进一步所描述来执行上文所描述的方法实施例的步骤中的每一者。上文所描述的方法可包含本文中所描述的任何其它方法的任何其它步骤,且可使用本文中所描述的系统中的任一者来执行。
附图说明
在阅读以下详细描述并参考所附图式时,将明了本发明的其它目标及优点,在图式中:
图1是图解说明印刷于晶片上的多个裸片的一个实施例的示意图,所述晶片包含以双重图案化光刻过程的参数的标称值印刷的两个或两个以上裸片以及以所述参数的经调制值印刷的一或多个裸片;
图2是图解说明经配置以用于检验晶片的系统的一个实施例的侧视图的示意图;
图3是图解说明存储程序指令的非暂时性计算机可读媒体的一个实施例的框图,所述程序指令可执行于计算机系统上以用于执行本文中所描述的一或多种方法;且
图4是图解说明经配置以用于预测形成于晶片上的装置的一或多个特性的系统的一个实施例的侧视图的示意图。
尽管易于对本发明做出各种修改及替代形式,但其特定实施例以实例方式展示于图式中且将在本文中进行详细描述。然而,应理解,图式及对图式的详细描述并不打算将本发明限制于所揭示的特定形式,而是相反,本发明打算涵盖归属于如所附权利要求书所界定的本发明的精神及范围内的所有修改形式、等效形式及替代形式。
具体实施方式
现在转到图式,应注意,图并未按比例绘制。特定来说,所述图的元件中的一些元件的比例被大大放大以强调所述元件的特性。还应注意,所述图并未按相同比例绘制。已使用相同元件符号指示可以类似方式配置的展示于一个以上图中的元件。
一个实施例涉及一种用于检验晶片的方法。所述方法包含:获取印刷于晶片上的多个裸片的图像。所述多个裸片中的每一者通过对所述晶片执行双重图案化光刻(DPL)过程而印刷。DPL过程可涉及两个单独光刻图案化步骤。在所述光刻图案化步骤中的每一者之后,可执行蚀刻过程(可能后续接着其它过程步骤)。DPL过程还可为包含于光刻过程中或为其部分的过程,所述光刻过程包含两次以上单独曝光。举例来说,对晶片执行的光刻过程可为三重图案化光刻(TPL)过程或四重图案化光刻(QPL)过程。以此方式,如本文中所使用的术语“DPL”过程打算意指包含至少两个单独光刻图案化步骤的任何多重图案光刻(MPL)过程。
所述多个裸片包含针对DPL过程以叠对的标称值印刷的两个或两个以上裸片以及以所述叠对的经调制值印刷的一或多个裸片。以此方式,本文中所描述的实施例出于随着光罩叠对误差增加而有意地导致缺陷的目的来利用逐裸片(或逐场)叠对的有意调制。
图1中展示晶片上的多个裸片的布局的一个实施例。如图1中所展示,所述多个裸片可成行22及列24印刷于晶片20上。如图1中进一步展示,所述晶片可针对每一列“A”裸片包含两列“B”裸片。“B”裸片是以叠对的标称值印刷,且“A”裸片是以叠对的经调制值印刷。因此,可以相同值印刷晶片上的“B”裸片中的每一者。另外,可以彼此不同的叠对值印刷任一列中的“A”裸片中的每一者。以此方式,可在晶片上逐行地调制叠对的值。可以任何适合增量调制所述叠对值,且经调制的叠对值的范围可取决于(举例来说)可印刷于晶片上的经调制裸片的数目而变化。可沿x方向及y方向调制所述叠对。举例来说,沿x方向的叠对的经调制值可为用于沿x方向对准的标称值加沿x方向的对准的某一误差。可以类似方式调制沿y方向的叠对。
获取所述多个裸片的图像可在已对所述晶片执行DPL过程的两个曝光步骤之后执行。以此方式,可在晶片的第二次曝光之后执行叠对特性化。获取所述晶片上的多个裸片的图像可使用宽带图案化晶片缺陷检验系统来执行,例如可从加利福尼亚州苗必达市(Milpitas,Calif.)的KLA科天公司(KLA-Tencor)购得的亮视野(Bright-Field)工具中的一者,或本技术领域中已知的任何其它适合的检验工具。获取所述多个裸片的图像可替代地包含从所述图像已通过图像获取系统存储于其中的计算机可读存储媒体获取所述图像。因此,可或可不使用所述晶片来执行获取所述图像。另外,获取以叠对的标称值印刷的两个或两个以上裸片的图像可包含:模拟将如何以所述标称值将所述两个或两个以上裸片印刷于所述晶片上,或从计算机可读存储媒体获取此些经模拟图像。可以任何适合的方式模拟此些图像。因此,针对所述标称值的图像可包含其上已使用曝光系统印刷多个裸片的晶片的经模拟标称图像或实际标称图像。因此,可将经模拟标称图像用作本文中进一步所描述的比较步骤的图像源。
所述方法还包含:将针对以所述标称值印刷的所述多个裸片所获取的所述图像与针对以所述经调制值印刷的所述多个裸片所获取的所述图像进行比较。可以任何适合的方式来执行以此方式将所述图像进行比较。将所述图像进行比较还可包含:将针对以所述经调制值印刷的裸片中的一者所获取的图像与针对以所述标称值印刷的多个裸片中的两者所获取的图像进行比较。或者,将所述图像进行比较可包含:将针对以所述经调制值印刷的裸片中的一者所获取的图像与针对以所述标称值印刷的多个裸片中的仅一者所获取的图像进行比较。
所述方法进一步包含:基于所述比较步骤的结果来检测以所述经调制值印刷的多个裸片中的缺陷。所述缺陷可使用任何适合的缺陷检测算法及/或方法来检测。举例来说,检测所述缺陷可包含:将缺陷检测阈值应用于所述比较步骤的结果(例如,所比较图像之间的差),且可将视为高于所述缺陷检测阈值的任何结果识别为缺陷或可能缺陷。
因此,本文中所描述的方法类似于过程窗资格认定(PWQ)检验程序步骤,例如在颁予彼得森(Peterson)等人的第6,902,855号、颁予彼得森等人的第7,418,124号及颁予凯卡雷(Kekare)等人的第7,769,225号美国专利中所描述的那些检验程序,所述美国专利如同完全陈述于本文中一般以引用的方式并入本文中。然而,不同于那些专利中所描述的系统及方法,本文中所描述的实施例提供检验PWQ程序步骤与叠对测量之间的连结。以此方式,本文中所描述的实施例可包含在已对晶片执行DPL过程的第二次曝光之后执行的针对叠对的PWQ分析。在针对叠对的PWQ分析之后,可使用最终蚀刻程序来处理所述晶片,所述最终蚀刻程序步骤可后续接着最终特性化步骤。
在一个实施例中,所述方法包含:获取印刷于另一晶片上的多个裸片的图像,所述另一晶片上的所述多个裸片中的每一者通过对所述另一晶片执行DPL过程而印刷,且所述另一晶片上的所述多个裸片包含以针对所述DPL过程的聚焦及曝光的标称值印刷的两个或两个以上裸片以及以聚焦及曝光的经调制值印刷的一或多个裸片。此实施例还包含:将针对以所述聚焦及曝光的标称值印刷的多个裸片所获取的图像与针对以所述聚焦及曝光的经调制值印刷的多个裸片所获取的图像进行比较;及基于所述比较步骤的结果来检测以所述聚焦及曝光的经调制值印刷的多个裸片中的缺陷。所述多个裸片可以类似于上文所描述的方式的方式印刷于另一晶片上(例如,其中以标称值印刷两列裸片,后续接着以经调制值印刷一列裸片)。聚焦及曝光的值可从标称值以0.1增量调制(例如,如果标称值为0.00,那么经调制值可在从0.6到-0.6之间变化)。经调制值的范围可取决于(举例来说)可以经调制值印刷于晶片上的裸片的数目而变化。以此方式,本文中所描述的实施例可包含在已对晶片执行DPL过程的第二次曝光之后执行的针对形貌的PWQ分析。获取另一晶片上的多个裸片的图像可使用宽带图案化晶片缺陷检验系统来执行,例如可从KLA科天公司购得的28xx工具中的一者。在此实施例中,可如本文中进一步所描述来执行将所述图像进行比较及检测缺陷。
在一个此类实施例中,所述方法包含:将在以聚焦及曝光的经调制值印刷的多个裸片中的实质上相同的裸片内位置处所检测到的缺陷进行比较;及基于将所述缺陷进行比较的结果以及对应于所述缺陷的聚焦及曝光的经调制值,确定针对所述多个裸片的设计中最易于由于聚焦及曝光的调制而产生缺陷的点。将在实质上相同的裸片内位置处所检测到的缺陷进行比较可经执行以确定在所述经调制裸片中的一个以上经调制裸片内的实质上相同位置处是否存在缺陷。以此方式,将在实质上相同的裸片内位置处所检测到的缺陷进行比较可识别在裸片内的实质上相同位置处重复地出现的缺陷。因此,可将此些缺陷识别为潜在系统化缺陷。此些缺陷的存在可指示:在所述位置处的设计存在问题(例如,所述设计具有防止将所述设计恰当地印刷于晶片上的一或多个特性)。另外,对应于所述潜在系统化缺陷的聚焦及曝光的经调制值可指示所述设计中的哪些区域最易于由于所述值的调制而产生缺陷。换句话说,对应于所述潜在系统化缺陷的聚焦及曝光的经调制值可指示所述设计在所述潜在系统化缺陷的位置处的敏感度如何。举例来说,与设计中的其它区域相比,所述设计中以更接近于标称值的值发生潜在系统化缺陷的区域可比所述其它区域更易于产生缺陷或对缺陷更敏感。那么,可将这些区域识别为设计中的关键区域。
在另一此类实施例中,所述方法包含:将设计数据空间中的接近在以所述聚焦及曝光的所述经调制值印刷的所述多个裸片中所检测到的缺陷的位置的设计数据部分进行比较;基于将所述部分进行比较的结果来确定所述部分中的设计数据是否为至少类似的;将在以所述聚焦及曝光的所述经调制值印刷的所述多个裸片中所检测到的所述缺陷区化成若干群组,使得接近所述群组中的每一者中的所述缺陷的位置的所述设计数据的所述部分为至少类似的;及基于所述区化的结果来确定在以所述聚焦及曝光的所述经调制值印刷的所述多个裸片中所检测到的所述缺陷中的哪些缺陷为系统化缺陷。因此,所述实施例可包含对通过PWQ所检测到的缺陷执行基于设计的区化。基于设计的区化可如颁予萨法尔(Zafar)等人的第7,570,796号美国专利中所描述来执行,所述美国专利如同完全陈述于本文中一般以引用的方式并入本文中。举例来说,将设计数据空间中的接近缺陷的位置的设计数据部分进行比较可包含:针对所述缺陷中的每一者来提取设计片段,且可基于设计数据空间中的所述缺陷的位置来确定所提取的设计片段。可基于将检验数据空间中的检验数据对准到设计数据空间中的设计数据的结果来确定设计数据空间中的缺陷的位置,此还可如上文所引用的专利中所描述来执行。所述比较步骤还可包含:将所述片段与其它片段中的每一者进行比较以确定所述所提取片段中的哪些片段为至少类似的。接着,可将至少类似的所提取片段分组,使得任何一个群组中的所有所提取片段为至少类似的。接着,可将对应于任何一个群组中的所提取片段的缺陷区化到对应群组中。以此方式,将群组中的缺陷区化,使得接近所述群组中的每一者中的缺陷的位置的设计数据的部分为至少类似的。由于系统化缺陷将在设计数据中的类似位置处重复地出现,因此可将含有多于预定数目个缺陷的缺陷群组识别为系统化缺陷群组,且可将此群组中的缺陷中的每一者识别为系统化缺陷。
在额外此类种实施例中,所述方法包含:基于在以所述聚焦及曝光的经调制值印刷的多个裸片中所检测到的缺陷来识别印刷于所述多个裸片中的经图案化特征中的问题。以此方式,可使用叠对度量衡来确定DPL中的印刷争论点。更具体来说,可执行PWQ以确定聚焦及曝光的值对任何形貌争论点的影响。举例来说,以聚焦及曝光的经调制值所检测到的缺陷可经检查以确定所述缺陷是否为在印刷于晶片上的经图案化特征或形貌中的缺陷。可使用在晶片上的缺陷的位置处获取的图像或通过使用晶片检验系统、缺陷再检视系统或度量衡系统来获取关于缺陷的新图像或信息来检查所述缺陷。可基于所述经图案化特征或形貌中的缺陷的一或多个特性来确定聚焦及曝光的经调制值的影响。举例来说,此些特性可包含:有缺陷的经图案化特征或形貌的位置、尺寸、侧壁角度及类似特性。
在又一此类实施例中,所述方法包含:基于在以所述聚焦及曝光的经调制值印刷的多个裸片中所检测到的缺陷来识别针对所述多个裸片的设计中的问题。以此方式,可执行PWQ以确定聚焦及曝光的值对任何设计争论点的影响。举例来说,可以任何适合的方式使用以聚焦及曝光的经调制值所检测到的缺陷以确定所述缺陷是否为由印刷于晶片上的设计中的问题或争论点导致。
在一个实施例中,所述方法包含:基于所述缺陷来确定DPL过程的过程窗。举例来说,所述方法可包含关于整个场的设计的叠对误差来确定过程窗。如本文中进一步所描述来确定双重图案化设计的曝光中的弱区域将允许确定过程窗。举例来说,确定所述过程窗可包含:确定以叠对的经调制值中的哪些经调制值印刷于晶片上的经图案化特征具有可接受的特性(例如,落入经图案化特征的预定规范内的特性),及界定所述过程窗以包含叠对的那些经调制值同时排除叠对的其它经调制值。
在另一实施例中,所述缺陷包含由所述叠对的经调制值导致的系统化缺陷。以此方式,所述方法可用于确定DPL内的受叠对影响的系统化缺陷。举例来说,例如本文中进一步所描述的亮视野(BF)检验工具的BF检验工具可用于检验晶片以允许检测系统化缺陷。特定来说,可将系统化缺陷识别为显现于印刷于晶片上的多个经调制裸片内的实质上相同位置处的那些缺陷。与本文中所描述的实施例相比,当前使用的方法依赖于测量场中的目标以计算对准性能,且对准裕度基于设计模拟。
在一个实施例中,所述方法包含:将设计数据空间中的接近缺陷的位置的设计数据部分进行比较;基于将所述部分进行比较的结果来确定所述部分中的设计数据是否为至少类似的;将所述缺陷区化成若干群组,使得接近所述群组中的每一者中的所述缺陷的位置的所述设计数据的所述部分为至少类似的;及基于所述区化的结果来确定所述缺陷中的哪些缺陷为系统化缺陷。以此方式,本文中所描述的实施例可对通过叠对调制而检测到的缺陷执行基于设计的区化。可如本文中进一步所描述来执行基于设计的区化。
在一个此类实施例中,所述方法包含:产生图解说明区化到图案群组中的两者或两者以上中的缺陷的数目的帕累托图(pareto chart)。在所述帕累托图中,可沿着x轴识别将缺陷区化到其中的不同群组,且可沿着y轴图解说明区化到每一群组中的缺陷的数目。以此方式,可使用帕累托图来识别最频繁地出现缺陷的群组(及因此设计及/或裸片或场的对应部分)。接着,可使用所述信息来执行本文中所描述的其它步骤,例如产生检验过程、变更晶片的设计等。
在另一实施例中,所述方法包含:将在以叠对的经调制值印刷的多个裸片中的实质上相同的裸片内位置处所检测到的缺陷进行比较;及基于将所述缺陷进行比较的结果以及对应于所述缺陷的叠对的经调制值,确定针对所述多个裸片的设计中最易于由于叠对的调制而产生缺陷的点。可如上文所描述来执行这些步骤。以此方式,所述方法可包含:使用品片检验程序步骤来确定与图案设计相关的弱点。特定来说,本文中所描述的在检验(PWQ)程序步骤与叠对测量的相关性之间的连结允许关于叠对来确定个别设计上的弱位置。本文中所描述的实施例可实施于大规模生产工厂中,其中引入新光罩组以确定在双重图案化设计的曝光中的弱区域。以此方式,所述实施例可提供关于与叠对相关的设计争论点的反馈。与本文中所描述的实施例相比,当前使用的方法并不考虑场内的可导致恶性故障的任何设计误差,这是因为这些误差可仅借助晶片检验程序步骤来检测。这些误差还将受DPL中的叠对误差影响。
在一个此类实施例中,所述方法包含:确定叠对的调制对设计中最易于产生缺陷的点的影响。以此方式,本文中所描述的实施例可用于确定叠对调制对场的边界区域的影响。可如本文中进一步所描述来确定叠对调制对最易于产生缺陷的点的影响。
在一些实施例中,所述方法包含:基于所述缺陷来确定在DPL过程中所使用的一或多个光罩的一或多个特性。举例来说,在本文中所描述的实施例中所使用的布局及检验允许双重图案化光罩的特性化。所述光罩的特性化可包含确定特征是否在规范内印刷于光罩上。举例来说,可使用裸片或场中的其中确定为将出现系统化缺陷的区域来识别所述光罩中的对应区域,接着,可将所述对应区域识别为光罩的可能问题区域。接着,可进一步检查光罩的那些区域(例如,通过光罩检验)以确定那些区域中是否存在可能尚未通过所述光罩的资格认定期间的光罩检验检测到的问题。
在另一实施例中,所述方法包含:基于所述缺陷来变更DPL过程的一或多个参数。举例来说,所述方法可包含:变更用于DPL过程的叠对的标称值。在一个此类实例中,如本文中进一步所描述而确定的过程窗可不同于针对DPL过程而设置的初始过程窗。如果两个过程窗不同,那么可将如本文中所描述而确定的过程窗用作DPL的过程窗,且可将标称值设定为在所确定过程窗的中心中(或附近)的叠对的值。
在一些实施例中,所述方法包含:基于所述缺陷来变更用于DPL过程的控制过程的一或多个参数。举例来说,如本文中进一步所描述来确定双重图案化设计的曝光中的弱区域将允许控制所述过程。所确定的控制过程可包含晶片上的在用于确定如何执行所述DPL过程的检验或度量衡期间所检验或测量的区域。举例来说,确定所述控制过程的一或多个参数可包含:识别设计中检测到系统化缺陷的区域或所述设计中的弱点,使得可在控制过程期间监视那些区域或点。另外,确定所述控制过程可包含确定用于所述DPL过程的检验或度量衡过程的任何其它参数。所述控制过程的经变更的一或多个参数还可包含用于所述DPL过程的反馈控制或原位控制技术的一或多个参数。
在又一实施例中,所述方法包含:基于所述缺陷来变更针对所述多个裸片的设计的一或多个参数。举例来说,如本文中进一步所描述来确定双重图案化设计的曝光中的弱区域将允许将需要对针对未来装置的设计做出的任何改变反馈到所述设计。
上文所描述的比较及检测步骤可用于特性化晶片上的经调制裸片或场的叠对。还可使用未经调制的裸片或场来执行类似的比较及检测步骤以特性化晶片上的未经调制区域的叠对。举例来说,在一个实施例中,所述方法包含:将针对以标称值印刷的多个裸片所获取的图像中的两者或两者以上彼此比较,及基于将针对以标称值印刷的多个裸片所获取的两个或两个以上图像彼此比较的结果来检测以所述标称值印刷的多个裸片中的缺陷。以此方式,所述方法可包含对所述未经调制的场或裸片的叠对基线特性化。可如本文中进一步所描述来执行这些步骤。
在另一实施例中,所述方法包含:对所述多个裸片中的一或多者执行度量衡;基于所述度量衡的结果来确定DPL过程的叠对误差、聚焦误差、剂量误差或其某一组合;及通过将所述叠对误差、聚焦误差、剂量误差或其某一组合应用到所述多个裸片中的所述一或多者的设计数据来模拟由所述多个裸片中的所述一或多者形成的装置的一或多个特性。可如本文中进一步所描述来执行这些步骤。
图2图解说明根据本文中所描述的实施例中的任一者的可用于检验晶片的系统的一个实施例。图2中所展示的系统包含经配置以获取印刷于晶片上的多个裸片的图像的图像获取子系统200。所述图像获取子系统包含经配置以产生光的光源202。所述光源可包含任何适合的光源,例如宽带光源。来自所述光源的光被引导到光束分离器204,所述光束分离器经配置以将来自光源的光引导到折射光学元件206。折射光学元件206经配置以将来自光束分离器的光聚焦到晶片208。晶片208由载物台210支撑及平移。光束分离器204、折射光学元件206及载物台210可包含本技术领域中已知的任何适合的此类元件。另外,虽然折射光学元件206在图2中展示为单个折射光学元件,但应理解,所述折射光学元件可包含一或多个折射光学元件及/或一或多个反射光学元件。
从晶片反射的光由折射光学元件206收集且通过光束分离器204被引导到检测器212。所述检测器经配置以检测所反射的光且响应于所反射的光来产生图像。所述检测器可包含本技术领域中已知的任何适合的检测器。所述图像获取子系统还可包含定位于光源与晶片之间及/或检测器与晶片之间的任何其它适合的光学元件。此类光学元件可包含波长滤波器、空间滤波器、偏光器、分析器及类似元件。所述系统可包含发射媒体214,所述发射媒体将检测器212耦合到计算机子系统216,使得所述计算机子系统可接收由所述检测器产生的图像。
所述计算机子系统可经配置为本文中所描述的计算机系统,其用于执行本文中所描述的方法的各种步骤。举例来说,使用计算机系统来执行:获取印刷于晶片上的多个裸片的图像、将所述图像进行比较及检测缺陷。所述计算机系统可包含本技术领域中已知的任何适合的计算机系统。举例来说,所述计算机系统可采取各种形式,包含个人计算机系统、主机计算机系统、工作站、图像计算机、并行处理器或本技术领域中已知的任何其它装置。一般来说,术语“计算机系统”可广义地定义为涵盖具有一或多个处理器的任何装置,其执行来自存储器媒体的指令。可如本文中所描述来进一步配置图2中所展示的系统。
本文中所描述的所有方法可包含将方法的一或多个步骤的结果存储于存储媒体中。所述结果可包含本文中所描述的结果中的任一者且可以本技术领域中已知的任何方式加以存储。存储媒体可包含本技术领域中已知的任何适合的非暂时性计算机可读存储媒体。在已存储所述结果之后,所述结果可在存储媒体中存取且由本文中所描述的方法或系统实施例中的任一者使用、经格式化以显示给用户、由另一软件模块、方法或系统使用等。
图3图解说明存储可在计算机系统304上执行以执行一方法的程序指令302的非暂时性计算机可读媒体300的一个实施例。可在计算机系统304上执行程序指令302所达成的方法可包含本文中所描述的任何方法的任何步骤。在一些实施例中,计算机系统304可耦合到图像获取子系统,或可为如本文中进一步所描述的检验或度量衡系统的计算机子系统。然而,在其它实施例中,计算机系统304可不耦合到图像获取子系统、检验系统或度量衡系统或者包含于其中。在一些此类实施例中,计算机系统304可经配置为独立式计算机系统。可如本文中所描述来进一步配置计算机可读媒体300、程序指令302及计算机系统304。
实施例如本文中所描述的那些方法的方法的程序指令302可存储于计算机可读媒体300上。所述计算机可读媒体可为存储媒体,例如只读存储器、随机存取存储器、磁盘或光盘、磁带或其它非暂时性计算机可读媒体。
可以包含基于程序步骤的技术、基于组件的技术及/或面向对象的技术以及其它技术的各种方式中的任一者来实施所述程序指令。举例来说,可视需要使用ActiveX控制件、C++对象、JavaBeans、微软基础类别(“MFC”)或者其它技术或方法来实施所述程序指令。
另一实施例涉及一种用于预测形成于晶片上的装置的一或多个特性的方法。所述方法包含:对使用光刻过程形成于晶片上的一或多个裸片执行度量衡。可使用一个或数个度量衡工具、借助一个或数个集成式或独立式度量衡传感器来执行所述度量衡。所述度量衡可包含本技术领域中已知的任何适合的度量衡,且所述光刻过程可包含本文中所描述的任何光刻过程或本技术领域中已知的任何其它适合的光刻过程。
所述方法还包含:基于所述度量衡的结果来确定所述光刻过程在所述一或多个裸片中的叠对误差、聚焦误差、剂量误差或其某一组合。举例来说,所述度量衡的结果可包含形成于所述一或多个裸片中的特征的线宽度,且基于所述线宽度,可基于使线宽度与叠对误差、聚焦误差、剂量误差或其某一组合相关的实验结果(或使用一模型)来确定用于以此线宽度形成经图案化特征的叠对误差、聚焦误差、剂量误差或其某一组合。
所述方法进一步包含:通过将所述叠对误差、聚焦误差、剂量误差或其某一组合应用于针对所述一或多个裸片的设计数据来模拟由所述一或多个裸片形成的装置的一或多个特性。举例来说,所述模拟步骤可包含将模型化的叠对、聚焦及剂量应用于所述装置的多边形文件(polygon file)以模拟真实装置波动。以此方式,本文中所描述的实施例可以部分取样或全层覆盖的方式将真实晶片叠对、聚焦及剂量数据应用于GDS设计布局。举例来说,所述模拟步骤可包含:取得可从度量衡工具获得的信息,包含在特定地点处的叠对及CD、来自K-T分析器(其可从KLA科天公司购得)的模型化结果、叠对可校正值、聚焦/轮廓可校正值及剂量/CD可校正值;及将所述信息一起或个别地应用于GDS中的特定多边形上。
与本文中所描述的实施例相比,当前已使用的方法完全地单独用于叠对及CD。在叠对中,经由回归法来对度量衡结果进行模型化。使用模型化结果来校正扫描仪且进行晶片的布置。CD过程窗经单独地分析且通常用于线性晶片/批平均剂量校正与布置。另外,当前使用的方法同等地处理所有图案元素且跨越场单独地针对叠对、聚焦及剂量来应用一个模型。此并不允许基于在当前过程窗下的真实行为来推动决策。
确定叠对误差、聚焦误差、剂量误差或其某一组合及模拟所述一或多个特性是使用计算机系统来执行,所述计算机系统可如本文中进一步所描述来配置。另外,可使用自动化软件/硬件解决方案来连结度量衡系统、GDS系统等,使得可更高效地执行本文中所描述的各种步骤。
在一个实施例中,所述方法包含:确定所述装置的一或多个特性对叠对误差、聚焦误差、剂量误差或其某一组合的敏感度。举例来说,通过对设计应用所述模型,可识别为关键装置区域的区域。以此方式,可使用本文中所描述的实施例来发现及监视关键的与图案相关的争论点。
在另一实施例中,所述方法包含:基于所述装置的一或多个特性对叠对误差、聚焦误差、剂量误差或其某一组合的敏感度来确定将使用所述光刻过程形成于其它晶片上的一或多个度量衡目标的一或多个位置。举例来说,所述一或多个度量衡目标的一或多个位置可位于比所述装置的其它区域对于叠对误差、聚焦误差、剂量误差或其某一组合具有更高敏感度的区域中或其周围。换句话说,度量衡目标可放置于其中装置的敏感度较大的区域中。以此方式,本文中所描述的实施例的优点是:监视其中对装置的风险较高的高优先权区域可用于确保在过程窗内过程是良好的。
与本文中所描述的实施例相比,当前使用的方法将叠对目标放置于光罩中/上在曝光场的边缘处或中心中而不打算监视曝光场中的特定区域。使用跨越所述场的4到6个叠对目标来对跨越所述曝光场的叠对进行模型化。此途径使用模型化方法来平均化跨越相对较大区域的叠对。
在一些实施例中,所述方法包含:基于所述装置的一或多个特性来布置所述晶片。布置所述晶片包含确定应如何处理所述晶片。举例来说,基于所述装置的一或多个特性,可确定如果所述一或多个装置特性可接受(例如,在规范内)那么可对所述晶片执行进一步生产,或如果所述一或多个装置特性不可接受那么应废弃或重新加工所述晶片。
在另一实施例中,所述模拟步骤包含:基于叠对误差、聚焦误差、剂量误差或其某一组合而将设计数据中的个别多边形重新定大小,以确定所述设计数据中可由于叠对误差、聚焦误差、剂量误差或其某一组合而具有一或多个故障机制的区域。举例来说,GDS设计布局的个别多边形可经重新定大小以确定可具有开口、短路或其它故障机制的关键区域。另外,在此应用中可考虑光刻模拟的作用。举例来说,并非几何考虑,而是可考虑经由物理模拟的影响。特定来说,在调整设计布局之后,可将现有OPC模拟(而非仅叠对模型)应用于经调整的设计布局。以此方式,可使用或撷取过程模型化的每一阶段。
在又一实施例中,所述方法包含:基于所述装置的一或多个特性来预测包含光刻过程的制作过程的良率,且预测所述良率是线内执行的。举例来说,将模型应用于设计的结果将指示良率问题。另外,装置的所模拟一或多个特性可用于线内良率预测。此外,本文中所描述的实施例实现在叠对、聚焦及剂量测量之后的较好线内良率预测。举例来说,本文中所描述的实施例允许基于叠对及CD测量的较好线内良率预测。
在另一实施例中,所述方法包含:基于所述装置的一或多个特性来确定晶片的取样方案,且确定所述取样方案是线内执行的。举例来说,装置的所模拟一或多个特性可用于线内良率取样推荐。以此方式,本文中所描述的实施例可用于动态地扩充参数取样及模型化。可针对例如检验、缺陷再检视或度量衡的任何过程来确定取样方案。另外,取样方案可经确定使得与其中缺陷不太可能导致一或多个装置特性中的争论点的装置区域相比,对其中更可能出现缺陷且导致一或多个特性的争论点的装置区域更重地取样。
在一个实施例中,所述方法包含:基于装置的一或多个特性来确定将对所述晶片或将在其上执行所述光刻过程的其它晶片执行的检验过程的一或多个参数。举例来说,装置的所模拟一或多个特性可用于缺陷检验推荐。特定来说,确定检验过程的一或多个参数可包含界定晶片检验的关键区域(例如,以优化处方敏感度)。以此方式,本文中所描述的实施例提供较好的晶片检验策略(例如,于何时及何地进行检验)。因此,将模型应用于设计的结果将推动较好的晶片检验策略。以此方式,本文中所描述的实施例可用于开发最优晶片检验处方。
在一些实施例中,所述方法包含:基于装置的一或多个特性来确定将对所述晶片或将在其上执行所述光刻过程的其它晶片执行的度量衡过程的一或多个参数。举例来说,装置的所模拟一或多个特性可用于关键尺寸扫描式电子显微镜(CDSEM)测量地点推荐。另外,本文中所描述的实施例提供较好的参数度量衡及CDSEM测量策略(于何时及何地进行测量)。举例来说,基于模拟步骤的结果,可将其中叠对及CD度量衡较关键的区域识别及选择为将通过度量衡过程来测量的地点。以此方式,本文中所描述的实施例可用于开发最优CDSEM测量处方。
在一个实施例中,所述模拟步骤包含通过以下步骤来模拟装置的一或多个特性:分别根据叠对误差、聚焦误差、剂量误差或其某一组合来确定叠对可校正值、聚焦可校正值、剂量可校正值或其某一组合;及将所述叠对可校正值、聚焦可校正值、剂量可校正值或其某一组合应用于针对所述一或多个裸片的设计数据。可以任何适合的方式分别根据叠对误差、聚焦误差、剂量误差或其某一组合来确定叠对可校正值、聚焦可校正值、剂量可校正值或其某一组合。可如本文中所描述来执行将叠对可校正值、聚焦可校正值、剂量可校正值或其某一组合应用于设计数据。
在另一实施例中,所述方法包含:基于所述一或多个特性以及叠对误差、聚焦误差、剂量误差或其某一组合来确定设计数据的过程窗。以此方式,确定过程窗可涉及全观途径,所述全观途径可包含同时叠对、聚焦、剂量、烤板等过程窗。与本文中所描述的实施例相比,独立地考虑当前这些方面中的每一者(例如,单独度量衡、单独分析、单独决策制定等)。实际上,光刻过程的所有不同参数为连结的,因此应连结/协调/组合度量衡、分析及/或决策制定。以此方式,本文中所描述的实施例可用于减少新过程开发时间且改进过程斜变时间(ramp time)。
在一个实施例中,所述方法包含:基于所述一或多个特性以及叠对误差、聚焦误差、剂量误差或其某一组合来确定针对所述晶片或在其上执行所述光刻过程的其它晶片的重工策略。举例来说,基于对GDS内的过程窗的分析,可开发全观及良率相关的重工策略,此可为运行时间规范内决策。以此方式,本文中所描述的实施例可用于改进的重工(以降低α及β风险)。因此,本文中所描述的实施例可用以减少生产环境中的偏离解决时间。
在另一实施例中,所述方法包含:确定所述设计数据中叠对误差、聚焦误差、剂量误差或其某一组合对所述装置的一或多个特性较关键的一或多个区域。举例来说,所述方法可包含:识别其中叠对及CD度量衡较关键的区域。以此方式,所述实施例可包含:发现及监视关键的图案相关争论点。
在一个此类实施例中,所述方法包含:将叠对、剂量及聚焦的局部模型应用于所述一或多个区域中的至少一者。举例来说,所述方法可包含:应用所识别的特定区域(例如,场的四分之一)的叠对、剂量及聚焦的局部模型。所述局部模型可包含任何适合的此类模型。此实施例还可包含:将应用所述局部模型的结果与将叠对、剂量及聚焦的全局模型应用于所述设计数据的结果进行比较以确定所述全局模型的准确度。举例来说,可将当前应用的规则(或全局)模型与局部模型进行比较以验证所述规则模型的准确度。所述局部模型可用于在全局模型与局部模型并不一致的情形中进行准确度校正及调整。
在一个实施例中,所述光刻过程为DPL过程,所述度量衡是在所述光刻过程的第一图案化步骤之后执行,且所述方法包含:基于叠对误差、聚焦误差、剂量误差或其某一组合来确定所述光刻过程的第二图案化步骤的一或多个参数。以此方式,本文中所描述的实施例可用于基于第一印刷步骤来增强DPL过程的第二印刷步骤。
在一个实施例中,所述光刻过程为DPL过程,所述一或多个裸片包含以叠对的标称值印刷的两个或两个以上裸片以及以所述叠对的经调制值印刷的一或多个裸片,且所述方法包含:获取以所述叠对的标称值印刷的两个或两个以上裸片中的至少一者以及以所述叠对的经调制值印刷的一或多个裸片中的至少一者的图像;将针对以所述叠对的标称值印刷的所述两个或两个以上裸片中的所述至少一者所获取的图像与针对以所述叠对的经调制值印刷的所述一或多个裸片中的所述至少一者所获取的图像进行比较;及基于所述比较步骤的结果来检测以所述经调制值印刷的一或多个裸片中的缺陷。可如本文中进一步所描述来执行这些步骤。
图4图解说明经配置以预测形成于晶片上的装置的一或多个特性的系统的一个实施例。如图4中所展示,所述系统包含经配置以对使用光刻过程形成于晶片上的一或多个裸片执行度量衡的度量衡工具400。所述度量衡工具包含光源402。光源402可包含本技术领域中已知的任何适合的光源。来自光源402的光可被引导穿过一或多个光学元件,例如光学元件404,其可为偏光器。离开所述偏光器的光可以斜入射角入射于晶片406上。
由于照明而从晶片衍射的光可由光学元件408收集,光学元件408可为集光器且可包含一或多个折射光学元件及/或一或多个反射光学元件。离开光学元件408的光可被引导穿过一或多个光学元件,例如光学元件410,其可为分析器。离开光学元件410的光可被引导到检测器412,所述检测器可包含本技术领域中已知的任何适合的检测器。检测器412可响应于由所述检测器检测的经衍射光而产生输出。
由于照明而从晶片衍射的光还可由光学元件414收集,所述光学元件可为集光器且可包含一或多个折射光学元件及/或一或多个反射光学元件。离开光学元件414的光可被引导穿过一或多个光学元件,例如光学元件416,其可为分析器。离开光学元件416的光可被引导到检测器418,所述检测器可包含本技术领域中已知的任何适合的检测器。检测器418可响应于由所述检测器检测的经衍射光而产生输出。
所述系统还包含耦合到所述检测器的计算机子系统420,使得所述计算机子系统可接收由所述检测器产生的输出。所述计算机子系统经配置以使用由所述度量衡子系统的检测器产生的输出来确定所述晶片的一或多个特性或所述晶片上的经图案化特征。所述计算机子系统还可经配置以执行本文中所描述的方法中的任一者的一或多个步骤。举例来说,所述计算机子系统可经配置以基于所述度量衡的结果来确定所述光刻过程在所述一或多个裸片中的叠对误差、聚焦误差、剂量误差或其某一组合,如本文中进一步所描述。所述计算机子系统还可经配置以通过将所述叠对误差、聚焦误差、剂量误差或其某一组合应用于针对所述一或多个裸片的设计数据来模拟由所述一或多个裸片形成的装置的一或多个特性,如本文中进一步所描述。可如本文中所描述来进一步配置所述计算机子系统。可如本文中所描述来进一步配置图4中所展示的系统。
鉴于此描述,所属领域的技术人员将明了本发明的各种方面的进一步修改及替代实施例。举例来说,本发明提供用于检验晶片及/或预测形成于晶片上的装置的一或多个特性的方法。因此,本描述应视为仅为说明性的且出于教示所属领域的技术人员实施本发明的一般方式的目的。应理解,本文中所展示及描述的本发明的形式应视为目前优选的实施例。如所属领域的技术人员在受益于本发明的此描述之后将全部了解,可替换本文中所图解说明及描述的那些元件及材料,可颠倒部件及过程,且可独立地利用本发明的特定特征。可在不背离如所附权利要求书中所描述的本发明的精神及范围的情形下对本文中所描述的元件做出改变。

Claims (37)

1.一种用于检验晶片的方法,其包括:
获取印刷于晶片上的多个裸片的图像,其中所述多个裸片中的每一者是通过对所述晶片执行双重图案化光刻过程而印刷,且其中所述多个裸片包括以针对所述双重图案化光刻过程的叠对的标称值印刷的两个或两个以上裸片以及以所述叠对的经调制值印刷的一或多个裸片;
将针对以所述标称值印刷的所述多个裸片所获取的所述图像与针对以所述经调制值印刷的所述多个裸片所获取的所述图像进行比较;及
基于所述比较的结果来检测以所述经调制值印刷的所述多个裸片中的缺陷,其中所述获取、所述比较及所述检测是使用计算机系统来执行。
2.根据权利要求1所述的方法,其进一步包括:获取印刷于另一晶片上的多个裸片的图像,其中所述另一晶片上的所述多个裸片中的每一者是通过对所述另一晶片执行所述双重图案化光刻过程而印刷,且其中所述另一晶片上的所述多个裸片包括以针对所述双重图案化光刻过程的聚焦及曝光的标称值印刷的两个或两个以上裸片,以及以所述聚焦及曝光的经调制值印刷的一或多个裸片;将针对以所述聚焦及曝光的所述标称值印刷的所述多个裸片所获取的图像与针对以所述聚焦及曝光的所述经调制值印刷的所述多个裸片所获取的图像进行比较;及基于所述比较的结果来检测以所述聚焦及曝光的所述经调制值印刷的所述多个裸片中的缺陷。
3.根据权利要求2所述的方法,其进一步包括:将在以所述聚焦及曝光的所述经调制值印刷的所述多个裸片中的实质上相同的裸片内位置处所检测到的所述缺陷进行比较;及基于所述将所述缺陷进行比较的结果以及对应于所述缺陷的所述聚焦及曝光的所述经调制值,确定针对所述多个裸片的设计中最易于由于所述聚焦及曝光的调制而产生缺陷的点。
4.根据权利要求2所述的方法,其进一步包括:将设计数据空间中的接近在以所述聚焦及曝光的所述经调制值印刷的所述多个裸片中所检测到的所述缺陷的位置的设计数据部分进行比较;基于所述将所述部分进行比较的结果来确定所述部分中的所述设计数据是否为至少类似的;将在以所述聚焦及曝光的所述经调制值印刷的所述多个裸片中所检测到的所述缺陷区化成若干群组,使得接近所述群组中的每一者中的所述缺陷的所述位置的所述设计数据的所述部分为至少类似的;及基于所述区化的结果来确定在以所述聚焦及曝光的所述经调制值印刷的所述多个裸片中所检测到的所述缺陷中的哪些缺陷为系统化缺陷。
5.根据权利要求2所述的方法,其进一步包括:基于在以所述聚焦及曝光的所述经调制值印刷的所述多个裸片中所检测到的所述缺陷来识别印刷于所述多个裸片中的经图案化特征中的问题。
6.根据权利要求2所述的方法,其进一步包括:基于在以所述聚焦及曝光的所述经调制值印刷的所述多个裸片中所检测到的所述缺陷来识别针对所述多个裸片的设计中的问题。
7.根据权利要求1所述的方法,其进一步包括基于所述缺陷来确定所述双重图案化光刻过程的过程窗。
8.根据权利要求1所述的方法,其中所述缺陷包括由所述叠对的值的调制导致的系统化缺陷。
9.根据权利要求1所述的方法,其进一步包括:将设计数据空间中的接近所述缺陷的位置的设计数据部分进行比较;基于所述将所述部分进行比较的结果来确定所述部分中的所述设计数据是否为至少类似的;将所述缺陷区化成若干群组,使得接近所述群组中的每一者中的所述缺陷的所述位置的所述设计数据的所述部分为至少类似的;及基于所述区化的结果来确定所述缺陷中的哪些缺陷为系统化缺陷。
10.根据权利要求9所述的方法,其进一步包括产生图解说明区化到所述群组中的两者或两者以上中的缺陷的数目的帕累托图。
11.根据权利要求1所述的方法,其进一步包括:将在以所述叠对的所述经调制值印刷的所述多个裸片中的实质上相同的裸片内位置处所检测到的所述缺陷进行比较;及基于所述将所述缺陷进行比较的结果以及对应于所述缺陷的所述叠对的所述经调制值,确定针对所述多个裸片的设计中最易于由于所述叠对的调制而产生缺陷的点。
12.根据权利要求11所述的方法,其进一步包括:确定所述叠对的所述调制对所述设计中最易于产生所述缺陷的所述点的影响。
13.根据权利要求1所述的方法,其进一步包括:基于所述缺陷来确定在所述双重图案化光刻过程中所使用的一或多个光罩的一或多个特性。
14.根据权利要求1所述的方法,其进一步包括基于所述缺陷来变更所述双重图案化光刻过程的一或多个参数。
15.根据权利要求1所述的方法,其进一步包括基于所述缺陷来变更用于所述双重图案化光刻过程的控制过程的一或多个参数。
16.根据权利要求1所述的方法,其进一步包括基于所述缺陷来变更针对所述多个裸片的设计的一或多个参数。
17.根据权利要求1所述的方法,其进一步包括:将针对以所述标称值印刷的所述多个裸片所获取的所述图像中的两者或两者以上彼此进行比较;及基于所述将针对以所述标称值印刷的所述多个裸片所获取的所述两个或两个以上图像彼此进行比较的结果来检测以所述标称值印刷的所述多个裸片中的缺陷。
18.根据权利要求1所述的方法,其进一步包括:对所述多个裸片中的一或多者执行度量衡;基于所述度量衡的结果来确定所述双重图案化光刻过程的叠对误差、聚焦误差、剂量误差或其某一组合;及通过将所述叠对误差、聚焦误差、剂量误差或其某一组合应用于针对所述多个裸片中的所述一或多者的设计数据来模拟由所述多个裸片中的所述一或多者形成的装置的一或多个特性。
19.根据权利要求1所述的方法,其中所述获取包括:通过模拟将如何以所述标称值将所述两个或两个以上裸片印刷于所述晶片上来获取以所述标称值印刷的所述两个或两个以上裸片的所述图像。
20.根据权利要求1所述的方法,其中以所述标称值印刷的所述两个或两个以上裸片的所述图像包括从计算机可读存储媒体获取的模拟图像。
21.一种用于预测形成于晶片上的装置的一或多个特性的方法,其包括:
使用光刻过程对形成于晶片上的一或多个裸片执行度量衡;
基于所述度量衡的结果来确定所述光刻过程在所述一或多个裸片中的叠对误差、聚焦误差、剂量误差或其某一组合;及
通过将所述叠对误差、聚焦误差、剂量误差或其某一组合应用于针对所述一或多个裸片的设计数据来模拟由所述一或多个裸片形成的装置的一或多个特性,其中所述确定及所述模拟是使用计算机系统来执行。
22.根据权利要求21所述的方法,其进一步包括:确定所述装置的所述一或多个特性对所述叠对误差、聚焦误差、剂量误差或其某一组合的敏感度。
23.根据权利要求21所述的方法,其进一步包括:基于所述装置的所述一或多个特性对所述叠对误差、聚焦误差、剂量误差或其某一组合的敏感度来确定将使用所述光刻过程形成于其它晶片上的一或多个度量衡目标的一或多个位置。
24.根据权利要求21所述的方法,其进一步包括:基于所述装置的所述一或多个特性来布置所述晶片。
25.根据权利要求21所述的方法,其中所述模拟包括:基于所述叠对误差、聚焦误差、剂量误差或其某一组合来将所述设计数据中的个别多边形重新定大小,以确定所述设计数据中可由于所述叠对误差、聚焦误差、剂量误差或其某一组合而具有一或多个故障机制的区域。
26.根据权利要求21所述的方法,其进一步包括:基于所述装置的所述一或多个特性来预测包含所述光刻过程的制作过程的良率,其中预测所述良率是线内执行的。
27.根据权利要求21所述的方法,其进一步包括:基于所述装置的所述一或多个特性来确定所述晶片的取样方案,其中确定所述取样方案是线内执行的。
28.根据权利要求21所述的方法,其进一步包括:基于所述装置的所述一或多个特性来确定将对所述晶片或将在其上执行所述光刻过程的其它晶片执行的检验过程的一或多个参数。
29.根据权利要求21所述的方法,其进一步包括:基于所述装置的所述一或多个特性来确定将对所述晶片或将在其上执行所述光刻过程的其它晶片执行的度量衡过程的一或多个参数。
30.根据权利要求21所述的方法,其中所述模拟包括:通过分别根据所述叠对误差、聚焦误差、剂量误差或其某一组合来确定叠对可校正值、聚焦可校正值、剂量可校正值或其某一组合及将所述叠对可校正值、聚焦可校正值、剂量可校正值或其某一组合应用于针对所述一或多个裸片的所述设计数据而模拟所述装置的所述一或多个特性。
31.根据权利要求21所述的方法,其进一步包括:基于所述一或多个特性以及所述叠对误差、聚焦误差、剂量误差或其某一组合来确定所述设计数据的过程窗。
32.根据权利要求21所述的方法,其进一步包括:基于所述一或多个特性以及所述叠对误差、聚焦误差、剂量误差或其某一组合来确定针对所述晶片或在其上执行所述光刻过程的其它晶片的重工策略。
33.根据权利要求21所述的方法,其进一步包括:确定所述设计数据中所述叠对误差、聚焦误差、剂量误差或其某一组合对于所述装置的所述一或多个特性较关键的一或多个区域。
34.根据权利要求21所述的方法,其进一步包括:将叠对、剂量及聚焦的局部模型应用于所述一或多个区域中的至少一者。
35.根据权利要求34所述的方法,其进一步包括:将所述应用所述局部模型的结果与将叠对、剂量及聚焦的全局模型应用于所述设计数据的结果进行比较以确定所述全局模型的准确度。
36.根据权利要求21所述的方法,其中所述光刻过程为双重图案化光刻过程,其中在所述光刻过程的第一图案化步骤之后执行所述执行所述度量衡,且其中所述方法进一步包括:基于所述叠对误差、聚焦误差、剂量误差或其某一组合来确定所述光刻过程的第二图案化步骤的一或多个参数。
37.根据权利要求21所述的方法,其中所述光刻过程为双重图案化光刻过程,其中所述一或多个裸片包括以叠对的标称值印刷的两个或两个以上裸片以及以所述叠对的经调制值印刷的一或多个裸片,且其中所述方法进一步包括:获取以所述叠对的所述标称值印刷的所述两个或两个以上裸片中的至少一者以及以所述叠对的所述经调制值印刷的所述一或多个裸片中的至少一者的图像;将针对以所述叠对的所述标称值印刷的所述两个或两个以上裸片中的所述至少一者所获取的所述图像与针对以所述叠对的所述经调制值印刷的所述一或多个裸片中的所述至少一者所获取的所述图像进行比较;及基于所述比较的结果来检测以所述经调制值印刷的所述一或多个裸片中的缺陷。
CN201380047045.8A 2012-08-01 2013-08-01 检验晶片及/或预测形成于晶片上的装置的一或多个特性 Active CN104620097B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201261678576P 2012-08-01 2012-08-01
US61/678,576 2012-08-01
US13/783,291 2013-03-02
US13/783,291 US8948495B2 (en) 2012-08-01 2013-03-02 Inspecting a wafer and/or predicting one or more characteristics of a device being formed on a wafer
PCT/US2013/053252 WO2014022682A1 (en) 2012-08-01 2013-08-01 Inspecting a wafer and/or predicting one or more characteristics of a device being formed on a wafer

Publications (2)

Publication Number Publication Date
CN104620097A true CN104620097A (zh) 2015-05-13
CN104620097B CN104620097B (zh) 2017-08-29

Family

ID=50025524

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201380047045.8A Active CN104620097B (zh) 2012-08-01 2013-08-01 检验晶片及/或预测形成于晶片上的装置的一或多个特性

Country Status (8)

Country Link
US (1) US8948495B2 (zh)
EP (1) EP2880427A1 (zh)
JP (4) JP6282650B2 (zh)
KR (2) KR102129826B1 (zh)
CN (1) CN104620097B (zh)
IL (2) IL236957B (zh)
TW (1) TWI591326B (zh)
WO (1) WO2014022682A1 (zh)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108885409A (zh) * 2016-04-10 2018-11-23 科磊股份有限公司 用于检测多重图案化制造装置中与重叠相关的缺陷的设计感知系统、方法及计算机程序产品
CN109075096A (zh) * 2016-04-22 2018-12-21 科磊股份有限公司 计算机辅助弱图案检测及鉴定系统
CN109564883A (zh) * 2016-08-12 2019-04-02 德克萨斯仪器股份有限公司 用于自动视觉缺陷检查之后的电子裸片覆墨的系统和方法
CN109952502A (zh) * 2016-11-21 2019-06-28 科磊股份有限公司 用于调谐经调制晶片的敏感度及确定用于经调制晶片的工艺窗的系统、方法及非暂时性计算机可读媒体
CN110419099A (zh) * 2017-03-23 2019-11-05 科磊股份有限公司 用于线上部分平均测试及潜在可靠性缺陷检验的方法及系统
CN111721779A (zh) * 2020-05-27 2020-09-29 联宝(合肥)电子科技有限公司 一种产品重工方法、装置及存储介质
CN115066604A (zh) * 2020-02-14 2022-09-16 科磊股份有限公司 在样本上的阵列区域中检测缺陷

Families Citing this family (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5960198B2 (ja) * 2013-07-02 2016-08-02 キヤノン株式会社 パターン形成方法、リソグラフィ装置、リソグラフィシステムおよび物品製造方法
KR102359050B1 (ko) 2014-02-12 2022-02-08 에이에스엠엘 네델란즈 비.브이. 프로세스 윈도우를 최적화하는 방법
US10576603B2 (en) 2014-04-22 2020-03-03 Kla-Tencor Corporation Patterned wafer geometry measurements for semiconductor process controls
WO2016010776A1 (en) 2014-07-13 2016-01-21 Kla-Tencor Corporation Metrology using overlay and yield critical patterns
US10712289B2 (en) 2014-07-29 2020-07-14 Kla-Tencor Corp. Inspection for multiple process steps in a single inspection process
CN107111245B (zh) * 2014-12-19 2019-10-18 Asml荷兰有限公司 测量非对称性的方法、检查设备、光刻系统及器件制造方法
US10036964B2 (en) * 2015-02-15 2018-07-31 Kla-Tencor Corporation Prediction based chucking and lithography control optimization
GB2536056B (en) * 2015-03-06 2017-07-12 Blatchford Products Ltd Lower Limb Prosthesis
US10012599B2 (en) * 2015-04-03 2018-07-03 Kla-Tencor Corp. Optical die to database inspection
KR102377411B1 (ko) * 2015-04-10 2022-03-21 에이에스엠엘 네델란즈 비.브이. 검사와 계측을 위한 방법 및 장치
US9767548B2 (en) * 2015-04-24 2017-09-19 Kla-Tencor Corp. Outlier detection on pattern of interest image populations
US9410902B1 (en) 2015-05-05 2016-08-09 United Microelectronics Corp. Overlay measurement method
US9940429B2 (en) 2015-06-29 2018-04-10 International Business Machines Corporation Early overlay prediction and overlay-aware mask design
KR20170016681A (ko) * 2015-08-04 2017-02-14 에스케이하이닉스 주식회사 레지스트레이션 제어된 포토마스크의 결함 검출 방법
US9679100B2 (en) * 2015-08-21 2017-06-13 Taiwan Semiconductor Manufacturing Company, Ltd. Environmental-surrounding-aware OPC
US9916965B2 (en) * 2015-12-31 2018-03-13 Kla-Tencor Corp. Hybrid inspectors
US10181185B2 (en) 2016-01-11 2019-01-15 Kla-Tencor Corp. Image based specimen process control
JP6752593B2 (ja) * 2016-03-07 2020-09-09 東レエンジニアリング株式会社 欠陥検査装置
WO2017194289A1 (en) * 2016-05-12 2017-11-16 Asml Netherlands B.V. Method of obtaining measurements, apparatus for performing a process step and metrology apparatus
US10262408B2 (en) * 2017-04-12 2019-04-16 Kla-Tencor Corporation System, method and computer program product for systematic and stochastic characterization of pattern defects identified from a semiconductor wafer
JP6778666B2 (ja) * 2017-08-24 2020-11-04 株式会社日立製作所 探索装置及び探索方法
EP3451061A1 (en) * 2017-09-04 2019-03-06 ASML Netherlands B.V. Method for monitoring a manufacturing process
US11635698B2 (en) 2018-01-24 2023-04-25 Asml Netherlands B.V. Computational metrology based sampling scheme
US10867877B2 (en) * 2018-03-20 2020-12-15 Kla Corporation Targeted recall of semiconductor devices based on manufacturing data
CN111426701B (zh) * 2019-06-25 2024-01-30 合肥晶合集成电路股份有限公司 一种晶圆缺陷检测方法及其装置
DE102020104167B4 (de) 2020-02-18 2023-01-26 Carl Zeiss Smt Gmbh Verfahren zur Vermessung von Photomasken
US20230204352A1 (en) * 2020-05-04 2023-06-29 Asml Netherlands B.V. System and method for generating level data for a surface of a substrate

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6215896B1 (en) * 1995-09-29 2001-04-10 Advanced Micro Devices System for enabling the real-time detection of focus-related defects
US20040032581A1 (en) * 2002-01-15 2004-02-19 Mehrdad Nikoonahad Systems and methods for inspection of specimen surfaces
US6791680B1 (en) * 1998-04-30 2004-09-14 Kla-Tencor Corporation System and method for inspecting semiconductor wafers
CN1618069A (zh) * 2001-12-10 2005-05-18 杜邦光掩公司 光掩模以及用样板规范使其合格的方法
US20080304025A1 (en) * 2007-06-08 2008-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for immersion lithography
US7626684B2 (en) * 2004-07-08 2009-12-01 Asml Netherlands B.V. Lithographic projection apparatus and a device manufacturing method using such lithographic projection apparatus
JP2010278434A (ja) * 2009-05-29 2010-12-09 Asml Netherlands Bv ダブルパターニングリソグラフィプロセスでレジストアライメントマークを形成する装置および方法
TWI342043B (en) * 2005-08-30 2011-05-11 Camtek Ltd A pipelined inspection system and method for inspecting a diced wafer
CN102210018A (zh) * 2008-12-05 2011-10-05 恪纳腾公司 用于检测掩模版上的缺陷的方法和系统

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09260446A (ja) * 1996-03-26 1997-10-03 Fujitsu Ltd 半導体装置の位置ずれ測定方法
JP3757482B2 (ja) * 1996-08-09 2006-03-22 ソニー株式会社 半導体装置の製造方法
JPH11186132A (ja) * 1997-12-19 1999-07-09 Sony Corp 半導体装置の製造工程のフィードバック方法
JPH11274037A (ja) * 1998-03-23 1999-10-08 Hitachi Ltd 半導体装置の製造方法および装置
JP2003257838A (ja) * 2002-03-06 2003-09-12 Hitachi Ltd 露光方法およびそのシステム
US6902855B2 (en) 2002-07-15 2005-06-07 Kla-Tencor Technologies Qualifying patterns, patterning processes, or patterning apparatus in the fabrication of microlithographic patterns
WO2004008244A2 (en) 2002-07-15 2004-01-22 Kla-Tencor Technologies Corp. Defect inspection methods that include acquiring aerial images of a reticle for different lithographic process variables
US8185230B2 (en) * 2002-08-22 2012-05-22 Advanced Micro Devices, Inc. Method and apparatus for predicting device electrical parameters during fabrication
US7003758B2 (en) * 2003-10-07 2006-02-21 Brion Technologies, Inc. System and method for lithography simulation
US7729529B2 (en) 2004-12-07 2010-06-01 Kla-Tencor Technologies Corp. Computer-implemented methods for detecting and/or sorting defects in a design pattern of a reticle
US7769225B2 (en) 2005-08-02 2010-08-03 Kla-Tencor Technologies Corp. Methods and systems for detecting defects in a reticle design pattern
JP2007081292A (ja) * 2005-09-16 2007-03-29 Toshiba Corp 検査方法、検査システムおよびプログラム
US7570796B2 (en) 2005-11-18 2009-08-04 Kla-Tencor Technologies Corp. Methods and systems for utilizing design data in combination with inspection data
JP4333770B2 (ja) * 2007-04-12 2009-09-16 ソニー株式会社 マスクパターン作成プログラム、半導体製造方法、マスクパターン作成方法および半導体設計プログラム
JP2008294352A (ja) * 2007-05-28 2008-12-04 Nuflare Technology Inc 露光方法及び露光用フォトマスク
JP4973876B2 (ja) * 2007-08-22 2012-07-11 信越化学工業株式会社 パターン形成方法及びこれに用いるパターン表面コート材
JP5065943B2 (ja) * 2008-02-29 2012-11-07 株式会社日立ハイテクノロジーズ 製造プロセスモニタリングシステム
DE102008017645A1 (de) 2008-04-04 2009-10-08 Carl Zeiss Smt Ag Vorrichtung zur mikrolithographischen Projektionsbelichtung sowie Vorrichtung zur Inspektion einer Oberfläche eines Substrats
JP6185693B2 (ja) * 2008-06-11 2017-08-23 ケーエルエー−テンカー・コーポレーションKla−Tencor Corporation ウェーハー上の設計欠陥および工程欠陥の検出、ウェーハー上の欠陥の精査、設計内の1つ以上の特徴を工程監視特徴として使用するための選択、またはそのいくつかの組み合わせのためのシステムおよび方法
JP5268532B2 (ja) * 2008-09-30 2013-08-21 株式会社日立ハイテクノロジーズ 試料計測方法、及び計測装置
JP5235719B2 (ja) * 2009-02-27 2013-07-10 株式会社日立ハイテクノロジーズ パターン測定装置
US8594823B2 (en) * 2009-07-17 2013-11-26 KLA—Tencor Corporation Scanner performance comparison and matching using design and defect data
JP5644290B2 (ja) * 2010-09-08 2014-12-24 凸版印刷株式会社 フォトマスクの製造方法
KR101492205B1 (ko) 2010-11-12 2015-02-10 에이에스엠엘 네델란즈 비.브이. 메트롤로지 방법 및 장치, 리소그래피 시스템, 및 디바이스 제조 방법

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6215896B1 (en) * 1995-09-29 2001-04-10 Advanced Micro Devices System for enabling the real-time detection of focus-related defects
US6791680B1 (en) * 1998-04-30 2004-09-14 Kla-Tencor Corporation System and method for inspecting semiconductor wafers
CN1618069A (zh) * 2001-12-10 2005-05-18 杜邦光掩公司 光掩模以及用样板规范使其合格的方法
US20040032581A1 (en) * 2002-01-15 2004-02-19 Mehrdad Nikoonahad Systems and methods for inspection of specimen surfaces
US7626684B2 (en) * 2004-07-08 2009-12-01 Asml Netherlands B.V. Lithographic projection apparatus and a device manufacturing method using such lithographic projection apparatus
TWI342043B (en) * 2005-08-30 2011-05-11 Camtek Ltd A pipelined inspection system and method for inspecting a diced wafer
US20080304025A1 (en) * 2007-06-08 2008-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for immersion lithography
CN102210018A (zh) * 2008-12-05 2011-10-05 恪纳腾公司 用于检测掩模版上的缺陷的方法和系统
JP2010278434A (ja) * 2009-05-29 2010-12-09 Asml Netherlands Bv ダブルパターニングリソグラフィプロセスでレジストアライメントマークを形成する装置および方法

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108885409B (zh) * 2016-04-10 2021-09-10 科磊股份有限公司 用于检测多重图案化制造装置中与重叠相关的缺陷的设计感知系统、方法及计算机程序产品
CN108885409A (zh) * 2016-04-10 2018-11-23 科磊股份有限公司 用于检测多重图案化制造装置中与重叠相关的缺陷的设计感知系统、方法及计算机程序产品
CN109075096A (zh) * 2016-04-22 2018-12-21 科磊股份有限公司 计算机辅助弱图案检测及鉴定系统
US11688052B2 (en) 2016-04-22 2023-06-27 Kla Corporation Computer assisted weak pattern detection and quantification system
CN109564883A (zh) * 2016-08-12 2019-04-02 德克萨斯仪器股份有限公司 用于自动视觉缺陷检查之后的电子裸片覆墨的系统和方法
CN109564883B (zh) * 2016-08-12 2023-12-19 德克萨斯仪器股份有限公司 用于自动视觉缺陷检查之后的电子裸片覆墨的系统和方法
CN109952502A (zh) * 2016-11-21 2019-06-28 科磊股份有限公司 用于调谐经调制晶片的敏感度及确定用于经调制晶片的工艺窗的系统、方法及非暂时性计算机可读媒体
US11139216B2 (en) 2016-11-21 2021-10-05 Kla-Tencor Corporation System, method and non-transitory computer readable medium for tuning sensitivities of, and determining a process window for, a modulated wafer
CN110419099B (zh) * 2017-03-23 2023-09-15 科磊股份有限公司 用于线上部分平均测试及潜在可靠性缺陷检验的方法及系统
CN110419099A (zh) * 2017-03-23 2019-11-05 科磊股份有限公司 用于线上部分平均测试及潜在可靠性缺陷检验的方法及系统
CN115066604A (zh) * 2020-02-14 2022-09-16 科磊股份有限公司 在样本上的阵列区域中检测缺陷
CN115066604B (zh) * 2020-02-14 2023-06-02 科磊股份有限公司 在样本上的阵列区域中检测缺陷
CN111721779B (zh) * 2020-05-27 2023-02-28 联宝(合肥)电子科技有限公司 一种产品重工方法、装置及存储介质
CN111721779A (zh) * 2020-05-27 2020-09-29 联宝(合肥)电子科技有限公司 一种产品重工方法、装置及存储介质

Also Published As

Publication number Publication date
JP6282650B2 (ja) 2018-02-21
US20140037187A1 (en) 2014-02-06
IL236957B (en) 2018-07-31
JP2018097376A (ja) 2018-06-21
WO2014022682A1 (en) 2014-02-06
JP2020057008A (ja) 2020-04-09
TWI591326B (zh) 2017-07-11
KR102169564B1 (ko) 2020-10-26
KR102129826B1 (ko) 2020-07-06
IL255772A (en) 2018-01-31
IL255772B (en) 2020-09-30
CN104620097B (zh) 2017-08-29
KR20200045577A (ko) 2020-05-04
KR20150036789A (ko) 2015-04-07
US8948495B2 (en) 2015-02-03
TW201415008A (zh) 2014-04-16
JP2021182162A (ja) 2021-11-25
EP2880427A1 (en) 2015-06-10
JP2015527740A (ja) 2015-09-17

Similar Documents

Publication Publication Date Title
CN104620097A (zh) 检验晶片及/或预测形成于晶片上的装置的一或多个特性
TWI675179B (zh) 多重圖案化參數之量測
TWI698635B (zh) 用於判定將對一試樣執行之一度量程序之參數之系統、方法及非暫時性電腦可讀取媒體
JP6789920B2 (ja) 被検査物上の関心対象領域の座標決定
US10074036B2 (en) Critical dimension uniformity enhancement techniques and apparatus
TWI668582B (zh) 用於判定由一檢查子系統在設計資料空間中產生之輸出之一位置的系統、方法及非暫時性電腦可讀媒體
KR101723688B1 (ko) 마이크로 브리징 및 러프니스 분석
KR102386536B1 (ko) 시편 상의 관심 패턴의 하나 이상의 특성의 결정
TW201734439A (zh) 混合檢查器
CN102637614B (zh) 消除错误缺陷检测方法与系统
TWI524079B (zh) 晶片對資料庫的接觸窗檢測方法
TW201517192A (zh) 晶片對資料庫的影像檢測方法
CN113412485B (zh) 用于选择设计文件的系统、计算机可读媒体及实施方法
KR101919901B1 (ko) 웨이퍼 검사 방법
US20160110859A1 (en) Inspection method for contact by die to database
TWI780470B (zh) 用於微影製程效能判定之方法及設備
CN105588844A (zh) 芯片对数据库的接触窗检测方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
EXSB Decision made by sipo to initiate substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant