CN104616979A - 半导体器件的形成方法 - Google Patents

半导体器件的形成方法 Download PDF

Info

Publication number
CN104616979A
CN104616979A CN201310542823.2A CN201310542823A CN104616979A CN 104616979 A CN104616979 A CN 104616979A CN 201310542823 A CN201310542823 A CN 201310542823A CN 104616979 A CN104616979 A CN 104616979A
Authority
CN
China
Prior art keywords
side wall
semiconductor device
grid structure
described side
semiconductor substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201310542823.2A
Other languages
English (en)
Other versions
CN104616979B (zh
Inventor
何永根
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Semiconductor Manufacturing International Shanghai Corp
Original Assignee
Semiconductor Manufacturing International Shanghai Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Semiconductor Manufacturing International Shanghai Corp filed Critical Semiconductor Manufacturing International Shanghai Corp
Priority to CN201310542823.2A priority Critical patent/CN104616979B/zh
Priority to US14/287,500 priority patent/US9362402B2/en
Publication of CN104616979A publication Critical patent/CN104616979A/zh
Priority to US15/147,397 priority patent/US10553719B2/en
Application granted granted Critical
Publication of CN104616979B publication Critical patent/CN104616979B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/3115Doping the insulating layers
    • H01L21/31155Doping the insulating layers by ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823864Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66553Unipolar field-effect transistors with an insulated gate, i.e. MISFET using inside spacers, permanent or not
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

一种半导体器件的形成方法,包括:提供半导体衬底,所述半导体衬底表面具有栅极结构;在所述半导体衬底表面形成侧墙,所述侧墙位于栅极结构两侧;对所述侧墙进行稳定性掺杂,提高所述侧墙抗腐蚀的能力;以所述侧墙为掩膜,刻蚀位于栅极结构两侧的半导体衬底,在所述栅极结构两侧的半导体衬底内形成凹槽;形成填充满所述凹槽的应力层。本发明提高了侧墙的抗腐蚀能力,从而降低后续刻蚀工艺对侧墙的刻蚀速率,避免暴露出栅极结构的侧壁,防止在形成应力层时在栅极结构侧壁形成缺陷膜,提高半导体器件的可靠性,优化半导体器件的电学性能。

Description

半导体器件的形成方法
技术领域
本发明涉及半导体制作领域,特别涉及半导体器件的形成方法。
背景技术
随着半导体技术的不断发展,载流子迁移率增强技术获得了广泛的研究和应用,提高沟道区的载流子迁移率能够增大半导体器件的驱动电流,提高器件的性能。
现有半导体器件制作工艺中,由于应力可以改变硅材料的能隙和载流子迁移率,因此通过应力来提高半导体器件的性能成为越来越常用的手段。具体地,通过适当控制应力,可以提高载流子(NMOS器件中的电子,PMOS器件中的空穴)迁移率,进而提高驱动电流,以此极大地提高半导体器件的性能。
目前,采用嵌入式锗硅(Embedded SiGe)技术,即在需要形成源区和漏区的区域先形成锗硅材料,然后再进行掺杂形成PMOS器件的源区和漏区;形成所述锗硅材料是为了引入硅和锗硅(SiGe)之间晶格失配形成的压应力,以提高PMOS器件的性能;采用嵌入式碳硅(Embedded SiC)技术,即在需要形成源区和漏区的区域先形成碳硅材料,然后再进行掺杂形成NMOS半导体器件的源区和漏区,形成所述碳硅材料是为了引入硅和碳硅(SiC)之间晶格失配形成的拉应力,以提高NMOS器件的性能。
嵌入式锗硅技术和嵌入式碳硅技术的应用可以提高半导体器件的载流子迁移率,但是在实际应用中发现,半导体器件的形成工艺仍存在电学性能差的问题。
发明内容
本发明解决的问题是提供一种优化的半导体器件的形成方法,提高侧墙的稳定性,从而提高侧墙的抗腐蚀能力,避免在形成应力层时暴露出栅极结构的侧壁,从而避免在栅极结构的侧壁形成缺陷膜,提高半导体器件的电学性能。
为解决上述问题,本发明提供一种半导体器件的形成方法,包括:提供半导体衬底,所述半导体衬底表面具有栅极结构;在所述半导体衬底表面形成侧墙,所述侧墙位于栅极结构两侧;对所述侧墙进行稳定性掺杂,提高所述侧墙的抗腐蚀能力;以所述侧墙为掩膜,刻蚀位于栅极结构两侧的半导体衬底,在所述栅极结构两侧的半导体衬底内形成凹槽;形成填充满所述凹槽的应力层。
可选的,所述稳定性掺杂为对所述侧墙进行碳掺杂。
可选的,在进行所述碳掺杂后,所述侧墙的材料中碳原子含量为0.5%至10%。
可选的,所述侧墙的材料中碳原子含量为均匀分布或呈现沿侧墙的内侧向外侧方向递增的趋势。
可选的,对所述侧墙进行碳掺杂的工艺为原位掺杂或非原位掺杂。
可选的,当对所述侧墙进行碳掺杂的工艺为原位掺杂时,所述侧墙的形成步骤包括:形成覆盖所述栅极结构和半导体衬底的侧墙膜,所述侧墙膜的形成工艺为低压化学气相沉积、热原子层沉积或等离子体原子层沉积,且所述形成工艺的反应气体包括硅源气体、氮源气体和碳源气体;回刻蚀所述侧墙膜,在栅极结构两侧形成侧墙。
可选的,当对所述侧墙进行碳掺杂的工艺为非原位掺杂时,对所述侧墙进行碳掺杂的工艺步骤包括:形成覆盖所述栅极结构和半导体衬底的侧墙膜;对所述侧墙膜进行碳掺杂;回刻蚀所述侧墙膜,在栅极结构两侧形成侧墙。
可选的,当对所述侧墙进行碳掺杂的工艺为非原位掺杂时,对所述侧墙进行碳掺杂的工艺步骤包括:形成覆盖所述栅极结构和半导体衬底的侧墙膜;回刻蚀所述侧墙膜,在栅极结构两侧形成侧墙;对所述侧墙进行碳掺杂。
可选的,所述侧墙膜为单层结构或多层结构;所述侧墙膜为单层结构时,所述侧墙膜为氮化硅层;所述侧墙膜为多层结构时,所述侧墙膜为依次形成的氧化硅层和氮化硅层。
可选的,采用低压化学气相沉积、热原子层沉积或等离子体原子层沉积工艺形成所述侧墙膜。
可选的,所述碳掺杂的工艺为离子注入或等离子掺杂。
可选的,采用离子注入工艺进行所述碳掺杂的工艺参数为:注入能量为6kev至10kev,注入剂量为1E16atom/cm2至5E17atom/cm2
可选的,在进行碳掺杂后,还包括步骤:对所述侧墙进行退火处理。
可选的,所述凹槽的形状为U形、方形或sigma形;当所述凹槽的形状为sigma形时,所述凹槽的形成步骤包括:以所述侧墙为掩膜,刻蚀栅极结构两侧的半导体衬底,在所述栅极结构两侧的半导体衬底内形成预凹槽;刻蚀所述预凹槽,在所述栅极结构两侧的半导体衬底内形成凹槽。
可选的,采用干法刻蚀工艺形成所述预凹槽。
可选的,刻蚀所述预凹槽的工艺为湿法刻蚀,所述湿法刻蚀的刻蚀液体为氨水或四甲基氢氨酸。
可选的,所述应力层的材料为SiGe、SiGeB、SiC或SiCP。
可选的,采用选择性外延工艺形成所述应力层。
可选的,所述应力层的材料为SiGe时,所述选择性外延工艺的工艺参数为:反应气体包括硅源气体、锗源气体、HCl和H2,所述硅源气体为SiH4或SiH2Cl2,所述锗源气体为GeH4,其中,硅源气体流量为1sccm至1000sccm,锗源气体流量为1sccm至1000sccm,HCl流量为1sccm至1000sccm,H2流量为100sccm至50000sccm,反应腔室压强为1托至500托,反应腔室温度为400度至900度。
可选的,形成的半导体器件为NMOS晶体管、PMOS晶体管或CMOS晶体管。
与现有技术相比,本发明的技术方案具有以下优点:
本发明技术方案中,在栅极结构两侧形成侧墙,且对所述侧墙进行了稳定性掺杂,提高侧墙的抗腐蚀能力;后续刻蚀栅极结构两侧的半导体衬底形成凹槽时,降低了所述刻蚀工艺对侧墙的刻蚀速率,避免由于刻蚀工艺刻蚀去除侧墙,暴露出栅极结构的侧壁;因此,所述侧墙受到刻蚀工艺的影响小,后续在凹槽内形成应力层时,栅极结构的侧壁均被侧墙所覆盖,从而防止在栅极结构的侧壁形成缺陷膜,提高半导体器件的可靠性,优化半导体器件的电学性能。
进一步,本发明实施例中,所述稳定性掺杂为对所述侧墙进行碳掺杂,由于碳原子本身具有较强的稳定性,对所述侧墙进行碳掺杂后,侧墙的稳定性得到提高,进而提高侧墙的抗腐蚀能力。
再进一步的,本发明实施例中,在对所述侧墙进行碳掺杂后,对所述侧墙进行退火处理,所述退火处理激活侧墙中的碳原子,在侧墙的材料中形成Si-C键;所述Si-C键的键能比Si-N键的键能大,因此Si-C键的热稳定性和化学稳定性优于Si-N键的热稳定性和化学稳定性;因此,在对所述侧墙进行退火处理后,侧墙的抗腐蚀能力得到进一步提高,从而进一步降低后续刻蚀工艺对侧墙的刻蚀速率。
更进一步的,本发明实施例中,形成覆盖栅极结构和半导体衬底的侧墙膜,对所述侧墙膜进行碳掺杂,回刻蚀所述侧墙膜在栅极结构两侧形成侧墙;本发明达到对侧墙进行碳掺杂的目的的同时,位于栅极结构两侧半导体衬底表面的侧墙膜阻挡碳原子进入半导体衬底内,从而避免后续刻蚀半导体衬底的工艺难度增加,本发明实施例减小了形成半导体器件的工艺难度。
附图说明
图1为一实施例形成半导体器件的流程示意图;
图2至图11为本发明第一实施例半导体器件形成过程的剖面结构示意图;
图12至图17为本发明第二实施例半导体器件形成过程的剖面结构示意图。
具体实施方式
由背景技术可知,现有技术制作的半导体器件存在电学性能差的问题。
针对半导体器件的形成方法进行研究,发现半导体器件的形成工艺包括以下步骤,请参考图1:步骤S1、提供半导体衬底,所述半导体衬底包括第一区域和第二区域,所述第一区域半导体衬底表面具有第一栅极结构,所述第二区域半导体衬底表面具有第二栅极结构;步骤S2、在所述半导体衬底表面形成侧墙,且所述侧墙分别位于第一栅极结构两侧和第二栅极结构两侧;步骤S3、形成覆盖所述第一栅极结构、第二栅极结构、侧墙和半导体衬底的掩膜层;步骤S4、在所述掩膜层表面形成图形化的光刻胶层,所述图形化的光刻胶层覆盖第一区域的掩膜层;步骤S5、以所述图形化的光刻胶层为掩膜,刻蚀去除第二区域的掩膜层;步骤S6、刻蚀所述第二栅极结构两侧的半导体衬底,在第二栅极结构两侧的半导体衬底内形成凹槽;步骤S7、形成填充满所述凹槽的应力层。
上述方法形成的半导体器件,在凹槽内形成应力层时,所述第二栅极结构顶部区域的侧壁也形成了缺陷膜(所述缺陷膜为形成在第二栅极结构顶部区域的侧壁的应力层材料);更严重的,在所述第二栅极结构的第二栅电极层侧壁均形成了缺陷膜,所述缺陷膜的形成降低了半导体器件的可靠性,使得半导体器件的电学性能恶化。
针对半导体器件的形成工艺进行进一步研究发现,所述缺陷膜的形成主要是如下原因造成的:在形成应力层之前,第二栅极结构两侧的侧墙经历了多次刻蚀工艺,所述刻蚀工艺包括:刻蚀去除第二区域的掩膜层、以及刻蚀第二栅极结构两侧的半导体衬底形成凹槽,所述刻蚀工艺会对侧墙进行刻蚀。所述刻蚀工艺多为干法刻蚀工艺,干法刻蚀的刻蚀气体具有垂直于半导体衬底的方向,侧墙顶部区域受到刻蚀工艺的刻蚀损伤最大,极易导致第二栅极结构顶部区域的侧墙被刻蚀去除,暴露出第二栅电极层顶部区域的侧壁;严重的,当刻蚀工艺时间较长时,对所述侧墙进行较长时间的刻蚀,导致暴露出的第二栅电极层侧壁面积增大;若第二栅电极层具有暴露出的侧壁,则在后续采用选择性外延工艺形成所述应力层,且所述应力层的材料中含有较多的Si原子时,根据选择性外延工艺的选择特性,当所述第二栅电极层的材料为多晶硅或掺杂的多晶硅时,在形成应力层的同时,所述暴露出的第二栅电极层侧壁也将形成缺陷膜。
所述第二栅极结构侧壁的缺陷膜,严重影响半导体器件的电学性能,导致半导体器件的可靠性降低。
为此,本发明提高一种半导体器件的形成方法,对所述侧墙进行稳定性掺杂,提高侧墙抗腐蚀能力,避免暴露出栅极结构的侧壁,从而避免在栅极结构侧壁形成缺陷膜,提高半导体器件的可靠性和电学性能。
为使本发明的上述目的、特征和优点能够更为明显易懂,下面结合附图对本发明的具体实施例做详细的说明。
本发明制作的半导体器件为NMOS晶体管、PMOS晶体管和CMOS晶体管,本发明实施例以制作的半导体器件为CMOS晶体管作示范性说明。本发明实施例对侧墙进行稳定性掺杂,提高所述侧墙抗腐蚀的能力。
第一实施例
图2至图11本发明第一实施例半导体器件制作过程的剖面结构示意图。
请参考图2,提供半导体衬底100,所述半导体衬底100表面具有栅极结构。
所述第一区域I为NMOS区域或PMOS区域,所述第二区域II为NMOS区域或PMOS区域。其中,当所述第一区域I为NMOS区域时,所述第二区域II为PMOS区域,当所述第一区域I为PMOS区域时,所述第二区域II为NMOS区域。在本发明的实施例中,以所述第一区域I为NMOS区域,第二区域II为PMOS区域做示范性说明。
还需要说明的是,所述第一区域I和第二区域II可以为相邻或间隔,不应过分限制本发明的保护范围。
本实施例中,以所述第一区域I为NMOS区域,所述第二区域II为PMOS区域,形成的半导体器件为CMOS晶体管作示范性说明。
所述半导体衬底100为单晶硅、多晶硅、非晶硅或绝缘体上的硅;所述半导体衬底100也可以为Si衬底、Ge衬底、SiGe衬底或GaAs衬底;所述半导体衬底100表面还可以形成若干外延界面层或应变层以提高半导体器件的电学性能。
本实施例中,所述半导体衬底100为Si衬底。
所述半导体衬底100内还可以形成有隔离结构101,所述隔离结构101可以为浅沟槽隔离结构,防止第一区域I和第二区域II之间发生电学连接。所述隔离结构101的填充材料为氧化硅、氮化硅或氮氧化硅中的一种或几种。
本实施例中,所述栅极结构包括位于第一区域I半导体衬底100表面的第一栅极结构110以及位于第二区域II半导体衬底100表面的第二栅极结构120。
所述第一栅极结构110包括位于第一区域I半导体衬底100表面的第一栅氧化层111、位于所述第一栅氧化层111表面的第一栅电极层112以及位于第一栅电极层112表面的第一顶部掩膜层113。
所述第二栅极结构120包括位于第二区域II半导体衬底100表面的第二栅氧化层121、位于所述第二栅氧化层121表面的第二栅电极层122以及位于第二栅电极层122表面的第二顶部掩膜层123。
所述第一栅氧化层111或第二栅氧化层121的材料为氧化硅或高k介质材料,所述第一栅电极层112或第二栅电极层122的材料为多晶硅、掺杂的多晶硅或金属。
所述第一顶部掩膜层113或第二顶部掩膜层123的材料为氮化硅,所述第一顶部掩膜层113或第二顶部掩膜层123可以作为后续形成凹槽的掩膜,保护第一栅电极层112或第二栅电极层122顶部不被后续的工艺所破坏。
本实施例中,所述第一栅氧化层111或第二栅氧化层121的材料为氧化硅,所述第一栅电极层112或第二栅电极层122的材料为多晶硅或掺杂的多晶硅。
请参考图3,形成覆盖所述第一栅极结构110、第二栅极结构120和半导体衬底100的侧墙膜102。
所述侧墙膜102用于后续形成位于第一栅极结构110和第二栅极结构120两侧的侧墙。
所述侧墙膜102为单层结构或多层结构。所述侧墙膜102为单层结构时,所述侧墙膜102为氮化硅层;所述侧墙膜102为多层结构时,所述侧墙膜102为依次形成的氧化硅层和氮化硅层。
本实施例以所述侧墙膜102为单层结构作示范性说明,所述侧墙膜102的材料为氮化硅,所述侧墙膜102的厚度为50埃至1000埃。
采用低压化学气相沉积、热原子层沉积或等离子体原子层沉积工艺形成所述侧墙膜102。
作为一个实施例,采用热原子层沉积工艺形成所述侧墙膜102,所述热原子层沉积的工艺参数为:反应气体包括硅源气体、氮源气体和N2,其中,硅源气体为SiH2Cl2或SiH4,氮源气体为NH3,沉积腔室温度为400度至600度,沉积腔室压强为0.1托至0.5托。
需要说明的是,在形成所述侧墙膜102之前,还可以在第一栅极结构110两侧的半导体衬底100内形成第一轻掺杂区,在第二栅极结构120两侧的半导体衬底100内形成第二轻掺杂区。所述第一轻掺杂区和第二轻掺杂区可以缓解热载流子效应。
请参考图4,对所述侧墙膜102进行稳定性掺杂130,提高所述侧墙膜102的抗腐蚀能力。
本实施例中,所述稳定性掺杂130为对所述侧墙膜102进行碳掺杂。
对所述侧墙膜102进行碳掺杂的目的在于:后续会回刻蚀所述侧墙膜102形成侧墙,因此,本实施例中对所述侧墙膜102进行碳掺杂,以达到对所述侧墙进行稳定性掺杂,提高所述侧墙的抗腐蚀能力的目的。
碳掺杂改善侧墙膜102的抗腐蚀能力的原因较为复杂,作为一种解释,所述碳掺杂改善侧墙膜102抗腐蚀能力的机理为:碳原子本身具有较高的稳定性,在侧墙膜102中进行碳掺杂后,所述碳原子具有的较高稳定性能够提高侧墙膜102的稳定性,提高侧墙膜102的热稳定性和化学稳定性,稳定性的提高有利于提高抗腐蚀能力;因此后续形成的侧墙的抗腐蚀能力也得到提高,降低侧墙被后续刻蚀工艺刻蚀的速率。
所述碳掺杂的工艺为离子注入或等离子掺杂。
本实施例中,采用离子注入工艺进行所述碳掺杂,所述离子注入的工艺参数为:注入能量为6kev至10kev,注入剂量为1E16atom/cm2至5E17atom/cm2。所述注入能量过小,碳离子被注入到侧墙膜102中的深度不够深;所述注入能量过大,碳离子注入深度过深,导致碳离子被注入到第一栅极结构110、第二栅极结构120或半导体衬底100内。所述注入剂量过小,可能会导致侧墙膜102中碳离子含量过少而不足以降低后续刻蚀工艺对侧墙的刻蚀速率;所述注入剂量过大会增加工艺成本,降低工艺效率。
本实施例中,在进行所述碳掺杂后,侧墙膜102的材料中碳原子的含量为0.5%至10%。
需要说明的是,所述侧墙膜102材料中的碳原子含量可以为均匀分布,也可以呈现沿侧墙膜102内侧向外侧方向递增的趋势。
当所述侧墙膜102材料中的碳原子含量呈现沿侧墙膜102的内侧向外侧方向递增趋势时,后续形成的侧墙材料中碳原子含量呈现沿侧墙内侧向外侧方向递增的趋势,碳原子含量越多的区域抗腐蚀能力越强,满足提高侧墙抗腐蚀能力的需求;且当侧墙膜102的材料中碳原子含量呈现递增趋势时,能够降低对侧墙膜102进行碳掺杂的工艺难度。
本实施例中,对所述侧墙膜102进行碳掺杂,第一栅极结构110两侧和第二栅极结构120两侧的半导体衬底100表面被所述侧墙膜102覆盖,避免所述碳掺杂的碳原子进入半导体衬底100内,从而避免在半导体衬底100内掺杂了碳原子后,防止由于半导体衬底100的抗腐蚀能力提高,造成后续刻蚀半导体衬底100形成凹槽的工艺难度增加。
在本发明其他实施例中,所述稳定性掺杂不限于碳掺杂,其他能提高侧墙膜热稳定性和化学稳定性的掺杂离子也可为稳定性掺杂的掺杂离子。
请参考图5,回刻蚀所述侧墙膜102(请参考图4),分别在第一栅极结构110和第二栅极结构120两侧形成侧墙103。
采用各向异性刻蚀工艺回刻蚀所述侧墙膜102。
作为一个实施例,所述各向异性刻蚀工艺为干法刻蚀,所述干法刻蚀工艺的工艺参数为:刻蚀气体包括CHF3、O2和Ar,CHF3流量为10sccm至100sccm,O2的流量为30sccm至50sccm,Ar的流量为50sccm至70sccm,反应腔室压强为0毫托至5毫托,源功率为200瓦至1000瓦,偏置电压为200V至1000V。
在所述侧墙103形成之后,还可以对所述侧墙103进行退火处理。所述退火处理激活侧墙103中的碳原子,在所述侧墙103内形成Si-C键;所述Si-C键的键能大于Si-N键的键能,因此所述Si-C键的热稳定性和化学稳定性优于Si-N键的热稳定性和化学稳定性,从而进一步提高侧墙103的抗腐蚀能力,进一步降低后续工艺刻蚀侧墙103的刻蚀速率。
需要说明的是,对所述侧墙进行碳掺杂的工艺为原位掺杂或非原位掺杂。在本实施例中,对所述侧墙103进行碳掺杂的工艺为非原位掺杂。
在本发明其他实施例中,当对所述侧墙进行碳掺杂的工艺为原位掺杂时,所述侧墙的形成步骤包括:形成覆盖所述第一栅极结构、第二栅极结构和半导体衬底的侧墙膜,所述侧墙膜的形成工艺为低压化学气相沉积、热原子层沉积或等离子体原子层沉积,且所述形成工艺的反应气体包括硅源气体、氮源气体和碳源气体,在形成侧墙膜的同时对所述侧墙膜进行原位碳掺杂;回刻蚀所述侧墙膜,分别在第一栅极结构和第二栅极结构两侧形成侧墙。
请参考图6,形成覆盖隔离结构101、半导体衬底100、侧墙103、第一栅极结构110和第二栅极结构120的掩膜层104。
所述掩膜层104的材料为氮化硅。
所述掩膜层104用于保护第一区域I的半导体衬底100和第一栅极结构110。
请参考图7,在所述第一区域I掩膜层104表面形成光刻胶层105,以所述光刻胶层105为掩膜,刻蚀去除位于第二区域II的掩膜层104。
所述光刻胶层105的形成步骤包括:形成覆盖所述掩膜层104的初始光刻胶层;对所述初始光刻胶层进行曝光显影,去除位于第二区域II掩膜层104表面的初始光刻胶层,形成位于第一区域I掩膜层104表面的光刻胶层105。
采用干法刻蚀工艺去除位于第二区域II的掩膜层104。
本实施例中,所述干法刻蚀工艺为反应离子刻蚀,所述反应离子刻蚀工艺的工艺参数为:反应气体包括CF4、CHF3和Ar,CF4流量为50sccm至100sccm,CHF3流量为10sccm至100sccm,Ar流量为100sccm至300sccm,源功率为50瓦至1000瓦,偏置功率为50瓦至250瓦,压强为50毫托至200毫托,腔室温度为20度至90度。
本实施例中,所述第二栅极结构120两侧的侧墙103的抗腐蚀能力得到提高,因此,所述刻蚀去除位于第二区域II的掩膜层105的工艺对侧墙103的刻蚀速率低,从而有利于降低刻蚀工艺中第二栅极结构120两侧侧墙103宽度的损失,避免暴露出第二栅电极层122的侧壁。
请参考图8,去除所述光刻胶层105(请参考图7)。
采用灰化工艺或湿法刻蚀工艺去除所述光刻胶层105。本实施例中,采用灰化工艺去除所述光刻胶层105,可以最大程度的减小去除光刻胶层105的工艺对侧墙103造成的损伤。
作为一个实施例,所述灰化工艺的工艺参数为:所述灰化工艺采用的气体为氧气,氧气流量为10sccm至1000sccm,反应温度为40度至250度。
请参考图9,以所述第二栅极结构120两侧的侧墙103为掩膜,刻蚀位于第二栅极结构120两侧的半导体衬底100,在所述半导体衬底100内形成第一预凹槽106。
在刻蚀位于第二栅极结构120两侧的半导体衬底100时,第一区域I的掩膜层104保护第一区域I半导体衬底100和第一栅极结构110不被所述刻蚀工艺所破坏。
采用干法刻蚀工艺形成所述第一预凹槽106。作为一个实施例,所述干法刻蚀工艺为等离子刻蚀,所述等离子刻蚀工艺的刻蚀气体包括SF6、CF4、C2F6、SiCl4或Cl2
本实施例中,由于在形成侧墙103之前,对侧墙膜102(请参考图4)进行了碳掺杂,因此,形成的侧墙103的抗腐蚀能力增强;采用等离子刻蚀工艺刻蚀形成所述第一预凹槽106时,所述等离子刻蚀工艺对侧墙103的损伤小;因此,当第一预凹槽106形成后,所述第二栅极结构120的侧壁依然被侧墙103所覆盖,防止暴露出第二栅电极层122的侧壁。
请参考图10,刻蚀所述第一预凹槽106(请参考图9),在第二栅极结构120两侧的半导体衬底100内形成第一凹槽107。
本实施例中,所述第一凹槽107的形成为sigma(Σ)形。Σ形的第一凹槽107侧壁向器件沟道方向内凹,这种形状可以有效缩短器件沟道长度,满足器件尺寸小型化的要求;且Σ形的第一凹槽107具有在栅极间隙体下方较大下切的特点,这种形状第一凹槽107内形成应力材料可以对器件沟道区产生更大的应力。
采用湿法刻蚀工艺刻蚀所述第一预凹槽106,作为一个实施例,所述湿法刻蚀的刻蚀液体为氨水或四甲基氢氨酸。
由于采用氨水或四甲基氢铵溶液作为湿法刻蚀的刻蚀液体时,湿法刻蚀对晶面(100)的刻蚀速率比对晶面(111)的刻蚀速率大,因此当湿法刻蚀工艺完成后,形成Σ形的第一凹槽107。
本实施例中,第二栅极结构120两侧的侧墙103的稳定性高,所述侧墙103的抗腐蚀能力较强;因此,形成所述第一凹槽107的湿法刻蚀工艺对侧墙103的刻蚀速率小,所述湿法刻蚀工艺对侧墙103造成的损伤小;当第一凹槽107形成后,所述第二栅极结构120的侧壁依然被侧墙103覆盖,从而防止暴露出第二栅极结构120的侧壁。
需要说明的是,在本发明其他实施例中,所述第一凹槽的形状可以为方形或U形。当所述第一凹槽的形状为方形或U形时,采用干法刻蚀工艺对第二栅极结构两侧的半导体衬底进行刻蚀,在所述第二栅极结构两侧的半导体衬底内形成第一凹槽。
请参考图11,形成填充满所述第一凹槽107的第一应力层108。
本实施例以所述第一应力层108的顶部与半导体衬底100表面齐平做示范性说明。在本发明其他实施例中,为了向第二区域沟道区施加适当的应力作用,所述第一应力层的顶部也可以高于半导体衬底表面。
所述第一应力层108的材料为SiGe、SiGeB、SiC或SiCP。其中,当第二区域II为NMOS区域时,所述第一应力层108的材料为SiC或SiCP,所述第一应力层108为NMOS区域的沟道区提供拉应力作用,从而提高NMOS区域载流子迁移率;当第二区域II为PMOS区域时,所述第一应力层108的材料为SiGe或SiGeB,所述第一应力层108为PMOS区域的沟道区提供压应力作用。本实施例以第二区域为PMOS区域作示范性说明,所述第一应力层108的材料为SiGe或SiGeB。
采用选择性外延工艺形成所述第一应力层108。
作为一个实施例,所述第一应力层108的材料为SiGe,所述选择性外延工艺的工艺参数为:反应气体包括硅源气体、锗源气体、HCl和H2,所述硅源气体为SiH4或SiH2Cl2,所述锗源气体为GeH4,其中,硅源气体流量为1sccm至1000sccm,锗源气体流量为1sccm至1000sccm,HCl流量为1sccm至1000sccm,H2流量为100sccm至50000sccm,反应腔室压强为1托至500托,反应腔室温度为400度至900度。
在本发明其他实施例中,所述第一应力层的材料为SiGeB,则反应气体还包括硼源气体,硼源气体流量为1sccm至1000sccm,所述硼源气体为B2H6
本实施例中,所述侧墙103的抗腐蚀能力高,因此所述侧墙103受到刻蚀工艺的影响较小;当采用选择性外延工艺形成所述第一应力层108时,所述侧墙103覆盖第二栅极结构120的侧壁,避免第二栅电极层122的侧壁暴露在选择性外延反应腔室中,从而防止在第二栅电极层122侧壁形成缺陷膜,进而提高半导体器件的可靠性,优化半导体器件的电学性能。
后续的工艺包括:在所述第一栅极结构110两侧的第一区域I半导体衬底100内形成第二凹槽,所述第二凹槽的形成过程可参考第一凹槽107的形成过程,在此不再赘述;形成填充满所述第二凹槽的第二应力层,所述第二应力层的材料为SiC或SiCP,所述第二应力层的形成工艺可参考第一应力层108的形成工艺,在此不再赘述;对所述第一应力层进行第一掺杂,形成第一掺杂区;对所述第二应力层进行第二掺杂,形成第二掺杂区;在所述第一应力层表面形成第一金属硅化物层,在所述第二应力层表面形成第二金属硅化物层。
由于对所述第一栅极结构110两侧的侧墙103也进行了碳掺杂,因此,第一栅极结构110两侧的侧墙103的抗腐蚀能力也得到提高;在所述第一栅极结构110两侧的半导体衬底100内形成第二应力层时,所述第一栅极结构110的侧壁被侧墙103覆盖,防止在第一栅极结构110顶部两侧形成缺陷膜,从而提高半导体器件的电学性能。
图12至图17为本发明第二实施例半导体器件制作过程的剖面结构示意图。
请参考图12,提供半导体衬底200,所述半导体衬底200具有第一区域I和第二区域II,所述第一区域I的半导体衬底200表面具有第一栅极结构210,所述第二区域II的半导体衬底200表面具有第二栅极结构220。
所述半导体衬底200、第一栅极结构210和第二栅极结构220的形成工艺和材料请参考本发明第一实施例半导体衬底100(请参考图2)、第一栅极结构120(请参考图2)和第二栅极结构120(请参考图2)的形成工艺和材料,在此不再赘述。
所述半导体衬底200内还可以形成有隔离结构201。
请参考图13,形成覆盖所述半导体衬底200、隔离结构201、第一栅极结构210和第二栅极结构220的侧墙膜202。
所述侧墙膜202的形成工艺和材料请参考本发明第一实施例提供的侧墙膜102(请参考图3)的形成工艺和材料,在此不再赘述。
本实施例中,所述侧墙膜202为单层结构,所述侧墙膜202的材料为氮化硅。
请参考图14,回刻蚀所述侧墙膜202(请参考图13),分别在第一栅极结构210和第二栅极结构220两侧形成侧墙203。
所述回刻蚀形成侧墙203的工艺请参考本发明第一实施例提供的回刻蚀形成侧墙103(请参考图5)的工艺,在此不再赘述。
请参考图15,对所述侧墙203进行碳掺杂230。
具体的,向所述半导体衬底200暴露的表面形成光刻胶层204,以所述光刻胶层204为掩膜,对所述侧墙203进行碳掺杂230。
在本发明其他实施例中,所述第一栅极结构包括第一栅氧化层和第一栅电极层,所述第二栅极结构包括第二栅氧化层和第二栅电极层,则所述光刻胶层还形成于第一栅极结构顶部和第二栅极结构顶部。
所述碳掺杂230的工艺为离子注入或等离子掺杂。
本实施例中,所述碳掺杂230的工艺为离子注入。作为一个实施例,所述离子注入的工艺参数为:注入能量为6kev至10kev,注入剂量为1E16atom/cm2至5E17atom/cm2。所述注入能量过小,则碳离子注入深度不够深;所述注入能量过大,碳离子注入深度过大造成碳离子注入至不期望区域。所述注入剂量过小,会导致不足以降低后续工艺对侧墙203的刻蚀速率;所述注入剂量过大,会增加工艺成本,降低生产效率。
在所述碳掺杂230工艺完成后,还可以对所述侧墙203进行退火处理。所述退火处理激活碳掺杂230所掺入的碳原子,在所述侧墙203的材料中形成Si-C键,所述Si-C键的键能大于Si-N键的键能,因此所述Si-C键的热稳定性和化学稳定性优于Si-N键的热稳定性和化学稳定性,从而进一步提高侧墙203的抗腐蚀能力,进一步降低后续工艺刻蚀侧墙203的刻蚀速率。
所述退火处理为尖峰退火或毫秒退火。所述退火处理为尖峰退火时,所述退火处理的工艺参数为:退火温度为800度至1000度,退火气体为氮气或氦气;所述退火处理为毫秒退火时,所述退火处理的工艺参数为:退火温度为1100度至1300度,退火时长为0.25毫秒至20毫秒。
请参考图16,去除所述光刻胶层204(请参考图15)。
本实施例中,采用灰化工艺去除所述光刻胶层204。作为一个实施例,所述灰化工艺的工艺参数为:反应气体包括O2,O2流量为100sccm至500sccm,反应腔室温度为450度至600度。
在本发明其他实施例中,也可以采用湿法刻蚀工艺去除所述光刻胶层204,由于对侧墙203进行了碳掺杂230(请参考图15),侧墙203的抗腐蚀能力得到提高;当采用湿法刻蚀工艺去除所述光刻胶层104时,所述湿法刻蚀工艺刻蚀侧墙203速率小。
请参考图17,在所述第二栅极结构220两侧的半导体衬底200内形成第一应力层208。
所述第一应力层208的形成步骤包括:刻蚀所述第二栅极结构220两侧的半导体衬底200,在所述第二栅极结构220两侧的半导体衬底200内形成凹槽;形成填充满所述凹槽的第一应力层208。形成所述第一应力层208的工艺步骤可参考本发明第一实施例图6至图11提供的工艺步骤,在此不再赘述。
本实施例中,所述侧墙203经过碳掺杂230后,所述侧墙203的抗腐蚀能力得到提高,第二栅极结构220的侧壁被侧墙203所覆盖,从而防止第二栅电极层222暴露在形成第一应力层208的选择性外延腔室内,避免在第二栅电极层侧壁形成缺陷膜,从而提高半导体器件的可靠性,优化半导体器件的电学性能。
综上,本发明提供的技术方案具有以下优点:
首先,本发明实施例中,对侧墙进行了稳定性掺杂,提高侧墙的抗腐蚀能力,从而降低了所述侧墙被后续工艺刻蚀的速率;本发明减少后续刻蚀工艺对侧墙造成的损伤,进而避免暴露出栅极结构的侧壁,防止后续形成应力层时,在暴露出的栅极结构侧壁形成缺陷膜,从而提高半导体器件的电学性能。
其次,本发明实施例中,形成覆盖所述栅极结构和半导体衬底的侧墙膜;对所述侧墙膜进行碳掺杂;回刻蚀所述侧墙膜,在栅极结构两侧形成侧墙;形成的侧墙的抗腐蚀能力得到提高,且在进行碳掺杂时,位于半导体衬底表面的侧墙膜阻挡碳原子进入半导体衬底内,避免半导体衬底的抗腐蚀能力也得到提高,从而降低后续刻蚀半导体衬底的工艺难度。
再次,本发明实施例中,在对所述侧墙进行碳掺杂后,对所述侧墙进行退火处理,使得碳原子与侧墙中的材料发生化学反应形成Si-C键,所述Si-C键的热稳定性和化学稳定性优于Si-N键的热稳定性和化学稳定性,从而进一步提高侧墙的抗腐蚀能力,进一步提高半导体器件的可靠性和电学性能。
虽然本发明披露如上,但本发明并非限定于此。任何本领域技术人员,在不脱离本发明的精神和范围内,均可作各种更动与修改,因此本发明的保护范围应当以权利要求所限定的范围为准。

Claims (20)

1.一种半导体器件的形成方法,其特征在于,包括:
提供半导体衬底,所述半导体衬底表面具有栅极结构;
在所述半导体衬底表面形成侧墙,所述侧墙位于栅极结构两侧;
对所述侧墙进行稳定性掺杂,提高所述侧墙的抗腐蚀能力;
以所述侧墙为掩膜,刻蚀位于栅极结构两侧的半导体衬底,在所述栅极结构两侧的半导体衬底内形成凹槽;
形成填充满所述凹槽的应力层。
2.根据权利要求1所述的半导体器件的形成方法,其特征在于,所述稳定性掺杂为对所述侧墙进行碳掺杂。
3.根据权利要求2所述的半导体器件的形成方法,其特征在于,在进行所述碳掺杂后,所述侧墙的材料中碳原子含量为0.5%至10%。
4.根据权利要求3所述的半导体器件的形成方法,其特征在于,所述侧墙的材料中碳原子含量为均匀分布或呈现沿侧墙的内侧向外侧方向递增的趋势。
5.根据权利要求2所述的半导体器件的形成方法,其特征在于,对所述侧墙进行碳掺杂的工艺为原位掺杂或非原位掺杂。
6.根据权利要求5所述的半导体器件的形成方法,其特征在于,当对所述侧墙进行碳掺杂的工艺为原位掺杂时,所述侧墙的形成步骤包括:形成覆盖所述栅极结构和半导体衬底的侧墙膜,所述侧墙膜的形成工艺为低压化学气相沉积、热原子层沉积或等离子体原子层沉积,且所述形成工艺的反应气体包括硅源气体、氮源气体和碳源气体;回刻蚀所述侧墙膜,在栅极结构两侧形成侧墙。
7.根据权利要求5所述的半导体器件的形成方法,其特征在于,当对所述侧墙进行碳掺杂的工艺为非原位掺杂时,对所述侧墙进行碳掺杂的工艺步骤包括:形成覆盖所述栅极结构和半导体衬底的侧墙膜;对所述侧墙膜进行碳掺杂;回刻蚀所述侧墙膜,在栅极结构两侧形成侧墙。
8.根据权利要求5所述的半导体器件的形成方法,其特征在于,当对所述侧墙进行碳掺杂的工艺为非原位掺杂时,对所述侧墙进行碳掺杂的工艺步骤包括:形成覆盖所述栅极结构和半导体衬底的侧墙膜;回刻蚀所述侧墙膜,在栅极结构两侧形成侧墙;对所述侧墙进行碳掺杂。
9.根据权利要求7或8所述的半导体器件的形成方法,其特征在于,所述侧墙膜为单层结构或多层结构;所述侧墙膜为单层结构时,所述侧墙膜为氮化硅层;所述侧墙膜为多层结构时,所述侧墙膜为依次形成的氧化硅层和氮化硅层。
10.根据权利要求7或8所述的半导体器件的形成方法,其特征在于,采用低压化学气相沉积、热原子层沉积或等离子体原子层沉积工艺形成所述侧墙膜。
11.根据权利要求7或8所述的半导体器件的形成方法,其特征在于,所述碳掺杂的工艺为离子注入或等离子掺杂。
12.根据权利要求11所述的半导体器件的形成方法,其特征在于,采用离子注入工艺进行所述碳掺杂的工艺参数为:注入能量为6kev至10kev,注入剂量为1E16atom/cm2至5E17atom/cm2
13.根据权利要求7或8所述的半导体器件的形成方法,其特征在于,在进行碳掺杂后,还包括步骤:对所述侧墙进行退火处理。
14.根据权利要求1所述的半导体器件的形成方法,其特征在于,所述凹槽的形状为U形、方形或sigma形;当所述凹槽的形状为sigma形时,所述凹槽的形成步骤包括:以所述侧墙为掩膜,刻蚀栅极结构两侧的半导体衬底,在所述栅极结构两侧的半导体衬底内形成预凹槽;刻蚀所述预凹槽,在所述栅极结构两侧的半导体衬底内形成凹槽。
15.根据权利要求14所述的半导体器件的形成方法,其特征在于,采用干法刻蚀工艺形成所述预凹槽。
16.根据权利要求14所述的半导体器件的形成方法,其特征在于,刻蚀所述预凹槽的工艺为湿法刻蚀,所述湿法刻蚀的刻蚀液体为氨水或四甲基氢氨酸。
17.根据权利要求1所述的半导体器件的形成方法,其特征在于,所述应力层的材料为SiGe、SiGeB、SiC或SiCP。
18.根据权利要求17所述的半导体器件的形成方法,其特征在于,采用选择性外延工艺形成所述应力层。
19.根据权利要求18所述的半导体器件的形成方法,其特征在于,所述应力层的材料为SiGe时,所述选择性外延工艺的工艺参数为:反应气体包括硅源气体、锗源气体、HCl和H2,所述硅源气体为SiH4或SiH2Cl2,所述锗源气体为GeH4,其中,硅源气体流量为1sccm至1000sccm,锗源气体流量为1sccm至1000sccm,HCl流量为1sccm至1000sccm,H2流量为100sccm至50000sccm,反应腔室压强为1托至500托,反应腔室温度为400度至900度。
20.根据权利要求1所述的半导体器件的形成方法,其特征在于,形成的半导体器件为NMOS晶体管、PMOS晶体管或CMOS晶体管。
CN201310542823.2A 2013-11-05 2013-11-05 半导体器件的形成方法 Active CN104616979B (zh)

Priority Applications (3)

Application Number Priority Date Filing Date Title
CN201310542823.2A CN104616979B (zh) 2013-11-05 2013-11-05 半导体器件的形成方法
US14/287,500 US9362402B2 (en) 2013-11-05 2014-05-27 Semiconductor devices and fabrication method thereof
US15/147,397 US10553719B2 (en) 2013-11-05 2016-05-05 Semiconductor devices and fabrication method thereof

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201310542823.2A CN104616979B (zh) 2013-11-05 2013-11-05 半导体器件的形成方法

Publications (2)

Publication Number Publication Date
CN104616979A true CN104616979A (zh) 2015-05-13
CN104616979B CN104616979B (zh) 2018-03-06

Family

ID=53006380

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201310542823.2A Active CN104616979B (zh) 2013-11-05 2013-11-05 半导体器件的形成方法

Country Status (2)

Country Link
US (2) US9362402B2 (zh)
CN (1) CN104616979B (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106611701A (zh) * 2015-10-27 2017-05-03 中微半导体设备(上海)有限公司 一种半导体器件的制备方法
CN107731689A (zh) * 2016-08-12 2018-02-23 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
CN110854075A (zh) * 2019-11-13 2020-02-28 上海华力集成电路制造有限公司 Cmos器件制造方法
CN112447517A (zh) * 2019-08-30 2021-03-05 株洲中车时代半导体有限公司 一种栅极退火及侧墙形成方法

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9368543B2 (en) * 2014-01-15 2016-06-14 Taiwan Semiconductor Manufacturing Co., Ltd. Image sensor device
CN106960795B (zh) * 2016-01-11 2020-03-10 中芯国际集成电路制造(北京)有限公司 Pmos晶体管的形成方法
US10326003B2 (en) * 2016-11-28 2019-06-18 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and methods of forming
US11854863B2 (en) * 2021-06-24 2023-12-26 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device including an isolation region having an edge being covered and manufacturing method for the same

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1667807A (zh) * 2004-03-12 2005-09-14 联华电子股份有限公司 金属氧化物半导体晶体管的制造方法
US20120202326A1 (en) * 2011-02-03 2012-08-09 Globalfoundries Inc. Methods for fabricating semiconductor devices
CN103035523A (zh) * 2011-09-30 2013-04-10 中芯国际集成电路制造(上海)有限公司 一种晶体管形成方法
US20130119444A1 (en) * 2011-11-15 2013-05-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacturing the same
US20130178024A1 (en) * 2012-01-09 2013-07-11 Globalfoundries Inc. In Situ Doping and Diffusionless Annealing of Embedded Stressor Regions in PMOS and NMOS Devices

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8236678B2 (en) * 2008-12-17 2012-08-07 Globalfoundries Singapore Pte. Ltd. Tunable spacers for improved gapfill
US20120068268A1 (en) * 2010-09-22 2012-03-22 Hsiao Tsai-Fu Transistor structure and method of fabricating the same
US8828858B2 (en) * 2012-01-19 2014-09-09 Globalfoundries Singapore Pte. Ltd. Spacer profile engineering using films with continuously increased etch rate from inner to outer surface
US9142642B2 (en) * 2012-02-10 2015-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and apparatus for doped SiGe source/drain stressor deposition
US9105570B2 (en) * 2012-07-13 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for introducing carbon to a semiconductor structure
CN103915322B (zh) * 2012-12-31 2016-12-28 中芯国际集成电路制造(上海)有限公司 半导体器件的制备方法

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1667807A (zh) * 2004-03-12 2005-09-14 联华电子股份有限公司 金属氧化物半导体晶体管的制造方法
US20120202326A1 (en) * 2011-02-03 2012-08-09 Globalfoundries Inc. Methods for fabricating semiconductor devices
CN103035523A (zh) * 2011-09-30 2013-04-10 中芯国际集成电路制造(上海)有限公司 一种晶体管形成方法
US20130119444A1 (en) * 2011-11-15 2013-05-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacturing the same
US20130178024A1 (en) * 2012-01-09 2013-07-11 Globalfoundries Inc. In Situ Doping and Diffusionless Annealing of Embedded Stressor Regions in PMOS and NMOS Devices

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106611701A (zh) * 2015-10-27 2017-05-03 中微半导体设备(上海)有限公司 一种半导体器件的制备方法
CN107731689A (zh) * 2016-08-12 2018-02-23 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
CN107731689B (zh) * 2016-08-12 2020-06-09 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
CN112447517A (zh) * 2019-08-30 2021-03-05 株洲中车时代半导体有限公司 一种栅极退火及侧墙形成方法
CN110854075A (zh) * 2019-11-13 2020-02-28 上海华力集成电路制造有限公司 Cmos器件制造方法
US11398410B2 (en) 2019-11-13 2022-07-26 Shanghai Huali Integrated Circuit Corporation Method for manufacturing a CMOS device

Also Published As

Publication number Publication date
US20160247922A1 (en) 2016-08-25
US9362402B2 (en) 2016-06-07
US20150123147A1 (en) 2015-05-07
CN104616979B (zh) 2018-03-06
US10553719B2 (en) 2020-02-04

Similar Documents

Publication Publication Date Title
US20230246032A1 (en) Semiconductor device and method of manufacturing the same
TWI689971B (zh) 使用n型摻雜的選擇性磊晶生長以在n型金氧半導體鰭式電晶體中形成非直視性的源極汲極延伸部分
CN104616979A (zh) 半导体器件的形成方法
CN105470132A (zh) 鳍式场效应管的形成方法
CN103871968A (zh) Mos晶体管的制作方法
CN105448679A (zh) 半导体器件的形成方法
CN104701260A (zh) 半导体器件的形成方法
CN105280492A (zh) 半导体结构的形成方法
CN109979986B (zh) 半导体器件及其形成方法
CN105448736A (zh) 晶体管的形成方法
CN104752216B (zh) 晶体管的形成方法
CN105226021A (zh) 半导体结构及其形成方法
CN110648915B (zh) 半导体器件及其形成方法
CN102097308A (zh) 一种侧墙回刻方法
CN105097457A (zh) 半导体器件的形成方法
CN104465486A (zh) 半导体器件的形成方法
CN105632926A (zh) 鳍式场效应晶体管的形成方法
CN102479711B (zh) Pmos晶体管的形成方法
CN104979288B (zh) 半导体器件的形成方法
CN104752348A (zh) 半导体器件的形成方法
CN104701166B (zh) 半导体器件的形成方法
CN104701149A (zh) 半导体器件及其形成方法
CN104347508A (zh) 半导体结构及其形成方法
CN103426766B (zh) Pmos晶体管及其形成方法
CN103633025B (zh) 互补型金属氧化物半导体管的形成方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant