CN104508805A - 通过气相化学暴露的低k介电质损伤修复 - Google Patents

通过气相化学暴露的低k介电质损伤修复 Download PDF

Info

Publication number
CN104508805A
CN104508805A CN201380039886.4A CN201380039886A CN104508805A CN 104508805 A CN104508805 A CN 104508805A CN 201380039886 A CN201380039886 A CN 201380039886A CN 104508805 A CN104508805 A CN 104508805A
Authority
CN
China
Prior art keywords
low
dielectric
compound
exposed
porous
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201380039886.4A
Other languages
English (en)
Inventor
K·陈
A·T·迪莫斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN104508805A publication Critical patent/CN104508805A/zh
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/2636Bombardment with radiation with high-energy radiation for heating, e.g. electron beam heating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76825Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

提供了一种用于修复及降低半导体制造中所使用的低k介电层的介电常数的方法。在一个实施例中,一种修复损伤低k介电层的方法包括使多孔的低k介电层暴露于含乙烯基硅烷的化合物;及可选择地使该多孔的低k介电层暴露于紫外线(UV)固化工艺。

Description

通过气相化学暴露的低K介电质损伤修复
背景
技术领域
本发明的实施一般关于半导体制造中所使用的低k介电薄膜的介电常数的修复与降低。
相关技术的描述
半导体制造中的介电薄膜的介电常数(k)随着元件尺寸持续减小而持续降低。为能继续降低特征尺寸,使低介电常数(低k)的薄膜上的整合损伤(integrationdamage)达到最小化是重要的。然而,当特征尺寸缩减时,电阻电容的增进与介电薄膜的可靠度即变成一项严格的挑战。
多孔的低k介电薄膜(包括如碳掺杂的氧化物(CDO))在暴露于整合步骤(包含、但不限于化学机械研磨(CMP)或平坦化、蚀刻、灰化及清洁)时会受到对多孔的低k介电薄膜的键结结构的损伤。特别是,在一或多次的这些整合步骤之后,Si-H与Si-OH键结在浓度上会增加,这种Si-H与Si-OH的增加会导致k值增加。现有的修复技术包含液相硅烷化或超临界二氧化碳的使用。然而,这类技术并未被证实能有效于修复薄膜中凹陷特征结构的侧壁损伤。
因此,一种用于修复介电薄膜以降低k值的方法是有必要的,以便于增进效率并允许更小的元件尺寸。
发明内容
本发明的实施例一般是关于用于半导体制造的低k介电层的介电常数的修复与降低。在一个实施例中,一种修复损伤低k介电层的方法包括使多孔的低k介电层暴露于含乙烯基硅烷的化合物以及可选择地使该多孔的低k介电层暴露于紫外线(UV)固化工艺。
在另一实施例中,提供了一种修复一损伤低k介电层的方法。该方法包括使多孔的低k介电层暴露于氧化化合物,使该多孔的低k介电层暴露于硅烷化作用剂(silylation agent),及可选择地使该多孔的低k介电层暴露于紫外线(UV)固化工艺。
附图说明
为能使本发明的上述特征能够被详细了解,现将参照实施方式来说明上文中简述的本发明的更具体描述,其中一些实施方式说明于如附附图中。然而,应注意如附附图仅说明了本发明的典型实施例,而不应被视为限制之用,因为本发明亦允许其他的等效实施方式。
图1A-1F说明在根据本文所述的实施例的处理的各个阶段中的介电层;
图2是工艺流程图,该图说明了根据本文所述的实施例的一种修复损伤薄膜的方法;
图3是工艺流程图,该图说明了根据本文所述的实施例的另一种修复损伤薄膜的方法;
图4是工艺流程图,该图说明了根据本文所述的实施例的另一种修复损伤薄膜的方法;
图5是工艺流程图,该图说明了根据本文所述的实施例的另一种修复损伤薄膜的方法;
图6是工艺流程图,该图说明了根据本文所述的实施例的另一种修复损伤薄膜的方法;
图7是工艺流程图,该图说明了根据本文所述的实施例的另一种修复损伤薄膜的方法;
图8是工艺流程图,该图说明了根据本文所述的实施例的另一种修复损伤薄膜的方法;及
图9是例示处理腔室的截面图,该处理腔室可用以实施本文所述的实施例。
为帮助理解,已尽可能使用相同的元件符号来代表附图之间相同的元件。应知一个实施例中的元件及/或处理步骤也可有利地整合于其他实施例中,无需额外记载。
具体实施方式
本发明的实施一般关于半导体制造中所使用的低k介电薄膜的介电常数的修复与降低。多孔的低k介电薄膜(包括如碳掺杂的氧化物(CDO))在暴露于整合步骤(诸如、但不限于化学机械研磨(CMP)或平坦化、蚀刻、灰化(ashing)及清洁)时会受到对多孔的低k介电薄膜键结结构的损伤。特别是,在一或多次的这些整合步骤之后,Si-H与Si-OH键结在浓度上会增加。本发明的实施包括通过整合直接与间接的损伤后修复工艺来降低Si-H与Si-OH键结的浓度。本发明的实施也包括用以修复Si-H损伤与Si-OH损伤两者的复合工艺。
图1A说明沉积在结构101上的介电薄膜100。该结构101可以是基板(举例而言,例如为硅晶圆)或先前形成的层(举例而言,例如为金属化或互连层)。该低k介电薄膜100可为任何传统的多孔的、低k、硅基介电材料,该材料具有大约为3或更低的k值。例示的低k介电薄膜包括例如SiO2、SiOC、SiON、SiCOH、SiOCN以及其他相关薄膜。在一个实施例中,低k介电材料为有机硅玻璃(OSG,亦称为SiCOH),该有机硅玻璃为含有碳与氢原子的硅氧化物。SiCOH具有介于约2与3之间的k值,且可为加州圣塔克莱应用材料公司的Black Diamond IITM。低k介电薄膜100可具有形成于其中的孔洞102。孔洞可为纳米孔洞。纳米孔洞可具有介于约0.5纳米至约20纳米范围内的直径。低k介电层可通过等离子体增强的化学气相沉积(PECVD)工艺或任何其他适当的沉积技术沉积而成。该低k介电薄膜100可为多孔的碳掺杂的氧化物(CDO)薄膜。该低k介电薄膜100所具有的k值可大于经薄膜处理之后该介电薄膜所具有的k值。
图1B说明在经平坦化与蚀刻以于低k介电薄膜100中形成特征结构104之后的低k介电薄膜100。低k介电薄膜100可通过例如CMP工艺而予以平坦化。该低k介电薄膜100可通过遮蔽该低k介电薄膜100的一部分、使低k介电薄膜100的未遮蔽部分与自氢氟酸(HF)蒸汽形成的等离子体接触以及利用例如由氧气(O2)与CO2气体形成的等离子体来进行灰化(ashing)而进行蚀刻。利用本文所述的实施例,可修复在任何处理步骤之后的低k介电薄膜100的k值。
介电薄膜100的平坦化、灰化与蚀刻是将氢及/或水导入介电薄膜100中,导致例如Si-H及/或Si-OH基团形成,该等基团可使介电薄膜100成为亲水性。介电薄膜100的亲水性性质会使孔洞102被水填满而产生受损孔洞103。Si-OH基团与受损孔洞103增加了介电薄膜100的k值。Si-H基团会导致电气特性的衰减(例如泄漏与时间相关的介电崩溃(TDDB))。平坦化与蚀刻所致的损伤通常都会局限在介电薄膜100的上部部分以及特征结构104的侧壁处,如图1B所示。
图1C说明在以下文所述的一或多道工艺进行修复之后的低k介电薄膜100。修复工艺通过去除受损孔洞103中的水分而产生修复的孔洞105,以及通过例如将Si-H基团转化为Si-CH2-CH2-Si(CH3)3与将低k介电薄膜100中的Si-OH基团转化为疏水性的Si-O-Si(CH3)3来降低低k介电薄膜100的k值。疏水性基团可帮助将水分驱离出低k介电薄膜100的受损孔洞103。
在低k介电薄膜100已经被修复之后,执行后续的处理来继续半导体的制造。举例而言,可使扩散阻障106沉积至介电薄膜100的特征结构104中,并使金属材料107(例如铜或铜合金)沉积至特征结构104中,如图1D所示。有必要平坦化金属材料107并自金属材料107移除在平坦化期间所形成的任何氧化物。一般的金属氧化物移除技术涉及使用氢或氨等离子体。平坦化及/或金属氧化物移除工艺会再次损伤低k介电薄膜100的表面,如图1E所示。可利用本文所述的任何修复工艺来修复低k介电薄膜100,如图1F所示。
图2为工艺流程图,该图说明了根据本文所述的实施例的一种修复损伤薄膜的方法200。在方块210,基板(该基板上是配置有多孔的低k介电层)被置放于处理腔室中。该基板与低k介电薄膜可类似于图1A-1F中所述的低k介电薄膜100及结构101。处理腔室可类似于图9中所述的处理腔室900。在方块220,可排空该处理腔室。处理腔室是通过使用真空泵而进行排空。
在方块230,低k介电层暴露于含乙烯基硅烷的化合物中。该乙烯基硅烷可具有液态或气态形式。在气相工艺中,介电薄膜100与含气化乙烯基硅烷的化合物接触。使含乙烯基硅烷的化合物气化可使该含乙烯基硅烷的化合物深入地渗透至低k介电薄膜100中。例示的含乙烯基硅烷的化合物可具有下列化学式:
其中R1、R2及R3各自选自氢(H)、烷基(例如,甲基、乙基、丙基、丁基等)、烷氧基(例如,甲氧基、乙氧基、丙氧基等)、氯及乙烯基。其他经取代的乙烯基硅烷也落入本文所说明的实施方式内。已知可与Si-H反应的其他未饱和化合物(包括烯烃、乙酰丙酮、乙酸乙烯酯、苯乙烯),也可用于本文所说明的实例方式。
例示的乙烯基硅烷类包括乙烯基硅烷、三甲基乙烯基硅烷(TMVS)、乙烯基三氯硅烷、乙烯基三甲氧基硅烷、乙烯基三乙氧基硅烷、乙烯基三(2-甲氧基乙氧基)硅烷、乙烯基三异丙氧基硅烷、乙烯基三(叔丁基过氧基)硅烷、乙烯基二甲基氯硅烷、乙烯基二甲基乙氧基硅烷、乙烯基甲基二氯硅烷、乙烯基甲基二甲氧基硅烷、乙烯基甲基二乙氧基硅烷、甲基乙烯基二(正-甲基乙酰氨基)硅烷、甲基乙烯基二(5-己内酰胺)硅烷、双(甲基二氯硅烷基)乙烷及其组合。在一个实例中,所述含乙烯基硅烷的化合物是三甲基乙烯基硅烷(TMVS)。
该含乙烯基硅烷的化合物气化工艺是通过将该低k介电薄膜100放置于处理腔室中、气化该含乙烯基硅烷的化合物以及使气化的该含乙烯基硅烷的化合物流到该处理腔室中而进行。该含乙烯基硅烷的化合物也可替代地于该处理腔室中气化。该含乙烯基硅烷的化合物可通过位于该处理腔室的上部部分处的喷淋头而注入该处理腔室中。载气(诸如He、Ar、N2及所述者的组合)可用以辅助该含乙烯基硅烷的化合物流动至该处理腔室中。此外,在该含乙烯基硅烷的化合物气相工艺中可添加触媒(例如水)。
该含乙烯基硅烷的化合物气相工艺可于介于50毫托耳至500托耳之间的处理腔室压力下进行,例如自约200毫托耳至约6托耳。在硅烷化工艺期间,介电薄膜可被加热至自约100℃至约400℃的温度,例如自约200℃至约390℃。该含乙烯基硅烷的化合物的流率可介于1sccm至10,000sccm之间,例如自约400sccm至约2,000sccm。可选的载气的流率可介于约1sccm至约10,000sccm之间,例如自2,000sccm至约3,000sccm。处理时间可介于1分钟与10分钟之间,例如3分钟。在处理腔室内的压力可于气相工艺期间改变。举例而言,该压力可于50托耳及500托耳之间变化。
在方块240,该多孔的低k介电层可选地暴露于紫外线(UV)固化工艺,以修复该低k介电薄膜100。方块240的UV固化工艺是于方块230的工艺之前、与方块230的工艺同时或是在方块230的工艺之后执行,或是为前述顺序的任意组合方式执行。UV固化工艺包括使该低k介电薄膜100与UV辐射接触,以自介电薄膜移除Si-H及/或自受损孔洞中移除水分,并于上述低k介电薄膜100中产生Si-CH2-CH2-Si(CH3)3及/或Si-O-Si(CH3)3基团。UV固化工艺可通过将该低k介电薄膜100置放于处理腔室中并连接UV辐射源以使该低k介电薄膜100与UV辐射接触而进行。该UV辐射源可以是例如UV灯。该UV辐射源可置于处理腔室外部,且该处理腔室具有可让UV辐射通过的石英窗。该低k介电薄膜100可置放于惰性气体环境中,举例而言,例如是氦气或氩气。该处理腔室也可包括微波源,用以于该低k介电薄膜100与UV辐射接触之前或同时加热该低k介电薄膜100。该UV固化工艺也可利用模拟UV辐射波长的等离子体来进行。等离子体可通过将RF功率耦合至处理气体(诸如氦气、氩气、氧气与氮气)而形成。等离子体可通过远端等离子体源(RPS)而形成,并被传送至该处理腔室。
该UV固化工艺可于处理腔室压力为介于1托耳与100托耳之间(诸如6托耳)、介电薄膜温度为介于20℃与400℃之间(诸如385℃)、环境气体流率为介于8,000sccm与24,000sccm之间(诸如16,000sccm)、处理气体流率为介于2,000sccm与20,000sccm之间(诸如12,000sccm)、RF功率为介于50W与1,000W之间(诸如500W)、RF功率频率为13.56MHz、处理时间为介于10秒与180秒之间(诸如60秒)、UV放射功率为介于100W/m2与2,000W/m2之间(诸如1,500W/m2)以及UV波长为介于100纳米与400纳米之间下进行。上述UV固化工艺有利地修复在特征结构104的侧壁中的受损孔洞103。
在一个实施例中,UV固化温度可从100℃至约800℃,例如约400℃。UV固化时间为约10秒至约600秒。UV固化气体可经由UV穿透气体分配喷淋头而流至处理腔室中。在一个实施例中,惰性气体(诸如氦气与氩气)可以介于约1,000sccm至约27,000sccm的流率流至处理腔室中。
在另一实施例中,在方块230中的暴露于含乙烯基硅烷的化合物以及在方块240中的UV固化硅可同时执行。在这种情况中,UV单元与含乙烯基硅烷的化合物的注入同时开启/关闭。在另一实施例中,方块240中的UV固化可在方块230中的暴露于该含乙烯基硅烷的化合物之前进行。在另外一个实施例中,方块230中的暴露于该含乙烯基硅烷的化合物以及方块240中的UV固化是可交替进行。举例而言,可进行UV固化以移除表面/侧壁的部分水分。接着进行对该含乙烯基硅烷的化合物的暴露,以恢复表面疏水性。接着进行UV固化以进一步恢复低k薄膜损伤。在这种情况中,对该含乙烯基硅烷的化合物的暴露以及UV固化是可分别执行约15秒至约30秒。可知,该含乙烯基硅烷的化合物的流率、时间、UV功率、基板温度、处理腔室的腔室压力等可根据应用而加以变化。如果需要的话,可在与用于对该含乙烯基硅烷的化合物的暴露的处理腔室不同的分开的处理腔室中进行UV固化。
图3是工艺流程图,该图说明了根据本文所述的实施例的另一种修复损伤薄膜的方法300。在方块310,基板(该基板上配置有多孔的低k介电层)是被置放于处理腔室中。基板与低k介电薄膜可以是类似于图1A-1F中所述的低k介电薄膜100与结构101。处理腔室可以是类似于图9所述的处理腔室900。在方块320处,处理腔室可被排空。处理腔室可利用真空泵而进行排空。
在方块330,该多孔的低k介电层被暴露于氧化化合物。该氧化化合物可呈液相或气相形式。例示的氧化化合物包括氧(O2)、含氧的化合物(例如N2O、NO)、臭氧(O3)、含臭氧的化合物、过氧化氢(H2O2)、含过氧化氢的化合物以及所述者的组合。对氧化化合物的暴露可通过将介电薄膜100置放于处理腔室中并使该氧化化合物的液体或气体流至该处理腔室中而进行。氧化化合物也可替代地于该处理腔室中气化。氧化化合物可通过位于该处理腔室的上部部分处的喷淋头而被注入处理腔室中。可使用载气(诸如氦气、氩气、氮气、氢气与所述者的组合)来帮助该氧化化合物流至该处理腔室中。
对氧化化合物的暴露可于介于50毫托耳至500托耳之间(例如从约200毫托耳至约6托耳)的处理腔室压力下进行。在氧化工艺期间,介电薄膜可被加热至自约100℃至约400℃的温度,例如自约200℃至约390℃。氧化化合物的流率可介于1sccm至10,000sccm之间,例如自约400sccm至约2,000sccm。可选的载气的流率可介于1sccm至10,000sccm之间,例如自约2,000sccm至约3,000sccm。处理时间可介于1分钟与10分钟之间,诸如3分钟。处理腔室内的压力可于气相工艺期间加以变化。举例而言,压力可变化于50托耳与500托耳之间。
在方块340,该多孔的低k介电层可选择地暴露于紫外线(UV)固化工艺,以修复该低k介电薄膜100。方块340的UV固化工艺可在方块330的工艺之前执行、可与方块330的工艺同时执行、可在方块330的工艺之后执行,或是可以前述顺序的任意组合来执行。方块340的UV固化工艺的工艺条件可与方块240的UV固化工艺相同或相似。
在方块350,该多孔的低k介电层被暴露于硅烷化作用剂。该多孔的低k介电层100对硅烷化作用剂的暴露可使介电薄膜100中的Si-OH基团转化为例如疏水性的Si-O-Si(CH3)3基团。疏水性的Si-O-Si(CH3)3基团有助于将水分驱离出该低k介电薄膜100的受损孔洞103。
低k介电层100对硅烷化作用剂的暴露是可于气相或液相中发生。气相硅烷化工艺包括使介电薄膜100与气化硅烷化作用剂接触,以于上述低k介电薄膜100中产生Si-O-Si(CH3)3基团。气化该硅烷化作用剂可使硅烷化作用剂深入地渗透至介电薄膜100中。例示的硅烷化作用剂包括六甲基二硅氮烷(HMDS)、四甲基二硅氮烷(TMDS)、三甲基氯硅烷(TMCS)、二甲基二氯硅烷(DMDCS)、甲基三氯硅烷(MTCS)、三甲基甲氧基硅烷(TMMS)(CH3-O-Si-(CH3)3)、二甲基二甲氧基硅烷(DMDMS)((CH3)2-Si-(OCH3)2)、甲基三甲氧基硅烷(MTMS)((CH3-O-)3-Si-CH3)、苯基三甲氧基硅烷(PTMOS)(C6H5-Si-(OCH3)3)、苯基二甲基氯硅烷(PDMCS)(C6H5-Si(Cl)-(CH3)2)、二甲基氨基三甲基硅烷(DMATMS)((CH3)2-N-Si-(CH3)3)、双(二甲基氨基)二甲基硅烷(BDMADMS)或其他含Si、H及C的化合物。
气相硅烷化工艺可通过将该低k介电薄膜100放入处理腔室中、气化该硅烷化作用剂以及使该气化的硅烷化作用剂流入该处理腔室中而进行。该硅烷化作用剂也可替代地于该处理腔室中气化。该硅烷化作用剂可经由位于该处理腔室的上部部分处的喷淋头而被注入至该处理腔室中。载气(诸如氦气、氩气、氮气及所述者的组合)可用以辅助硅烷化作用剂流入处理腔室中。此外,在气相硅烷化工艺期间硅可添加触媒(诸如水)。
气相硅烷化工艺可在介于50毫托耳至500托耳之间的处理腔室压力下进行,例如自约200毫托耳至约6托耳。在氧化工艺期间,介电薄膜可被加热至自约100℃至约400℃的温度,例如自约200℃至约390℃。硅烷化作用剂的流率可介于1sccm至10,000sccm之间,例如自约400sccm至约2,000sccm。可选的载气的流率可介于1sccm至10,000sccm之间,例如自约2,000sccm至约3,000sccm。处理时间可介于1分钟与10分钟之间,诸如3分钟。在处理腔室内的压力可于气相工艺期间改变。举例而言,该压力可于50托耳及500托耳之间变化。
该多孔的低k介电层是可选择地暴露于紫外线(UV)固化工艺,以修复该低k介电薄膜100。方块的UV固化工艺可在方块350的工艺之前进行、可与方块350的工艺同时进行、在方块350的工艺之后进行或以前述次序的任意组合方式进行。UV固化工艺的工艺条件可与方块240的UV固化工艺的工艺条件相同或类似。
在方法300中可进行各种除气与排空工艺。举例而言,在使该多孔的低k介电层暴露至氧化化合物之后或是在将该多孔的低k介电层暴露至硅烷化作用剂之前,使除气气体流至腔室中及/或排空腔室会是有利的。在某些实施例中,当在方块230中使用载气时,通过在该氧化化合物的流动已经中止之后继续使载气流动,载气即可作为除气气体。该处理腔室可通过真空泵的使用而进行排空。
图4为工艺流程图,该图说明了根据本文所述实施例的修复损伤薄膜的另一方法400。该方法400类似于方法300,除了使该多孔的低k介电层暴露于氧化化合物与硅烷化作用剂是同时进行而非个别步骤以外。在方块410,基板(该基板上方配置有多孔的低k介电层)置于处理腔室中。在方块420,该处理腔室可被排空。在方块430,该多孔的低k介电层同时暴露于氧化化合物与硅烷化作用剂。该氧化化合物与硅烷化作用剂可具有液态或气态形式。该氧化化合物与硅烷化作用剂可于被供应至腔室之前先行混合,或可分别进入处理腔室并于该处理腔室内部混合。载气(诸如氦气、氩气、氮气及所述者的组合)可用以帮助硅烷化作用剂流到该处理腔室中。工艺条件可与先前所述的方法200、300的工艺条件相同或类似。
在方块440,该多孔的低k介电层可选地暴露于紫外线(UV)固化工艺,以修复该低k介电薄膜100。方块440的UV固化工艺可于方块430的工艺之前进行、可与方块430的工艺同时进行、在方块430的工艺之后进行或以前述次序的任何组合方式进行。方块440的UV固化工艺的工艺条件可与方块240的UV固化工艺的工艺条件相同或类似。
图5为工艺流程图,该图说明根据本文所述实施例的修复损伤薄膜的另一方法500。方法500类似于方法200,除了该多孔的低k介电层被暴露于含乙烯基硅烷的化合物与硅烷化作用剂两者以外。对该含乙烯基硅烷的化合物与该硅烷化作用剂的暴露硅可同时进行。在方块510,基板(该基板上配置有多孔的低k介电层)置于处理腔室中。在方块520,该处理腔室可被排空。在方块530,该多孔的低k介电层同时暴露于含乙烯基硅烷的化合物与硅烷化作用剂。该含乙烯基硅烷的化合物与硅烷化作用剂可为液态或气态形式。该含乙烯基硅烷的化合物与硅烷化作用剂可于被供应至腔室之前先行混合,或可分别进入处理腔室并于该处理腔室内部混合。载气(诸如氦气、氩气、氮气及所述者的组合)可用以帮助该硅烷化作用剂流到该处理腔室中。工艺条件可与先前所述的用于注入含乙烯基硅烷与硅烷化作用剂的工艺条件相同或类似。在方块540,该多孔的低k介电层可选地暴露于紫外线(UV)固化工艺,以修复该低k介电薄膜100。方块540的UV固化工艺可于方块530的工艺之前进行、可与方块530的工艺同时进行、在方块530的工艺之后进行或以前述次序的任何组合方式进行。方块540的UV固化工艺的工艺条件可与方块240的UV固化工艺的工艺条件相同或类似。
图6为工艺流程图,该图说明根据本文实施例的修复损伤薄膜的另一方法600。该方法600类似于方法500,除了该多孔的低k介电层是依序暴露于该含乙烯基硅烷的化合物与该硅烷化作用剂以外。在方块610,基板(该基板上配置有多孔的低k介电层)置于处理腔室中。在方块620,该处理腔室可被排空。
在方块630,该多孔的低k介电层暴露于含乙烯基硅烷的化合物。该含乙烯基硅烷的化合物可具有液态或气态形式。载气(诸如氦气、氩气、氮气及所述者的组合)可用以帮助该含乙烯基硅烷的化合物流到该处理腔室中。工艺条件可与先前所述的用于将含乙烯基硅烷的化合物注入至处理腔室中的工艺条件相同或类似。
在方块640,该多孔的低k介电层被可选择地暴露至紫外线(UV)固化工艺,以修复该低k介电薄膜100。方块640的UV固化工艺可在方块630的工艺之前进行、可与方块630的工艺同时进行、可在方块630的工艺之后进行,或是以前述次序的任意组合方式进行。方块640的UV固化工艺的工艺条件可与方块240的UV固化工艺的工艺条件相同或类似。
在方块650,该多孔的低k介电层暴露于硅烷化作用剂。该硅烷化作用剂可具有液态或气态形式。载气(诸如氦气、氩气、氮气及所述者的组合)可用以辅助硅烷化作用剂流入处理腔室中。工艺条件可与先前所述的用于将硅烷化作用剂注入至处理腔室中的工艺条件相同或类似。
在方块660,该多孔的低k介电层被可选择地暴露至紫外线(UV)固化工艺,以修复该低k介电薄膜100。方块660的UV固化工艺可在方块650的工艺之前进行、可与方块650的工艺同时进行、可在方块650的工艺之后进行,或是以前述次序的任意组合方式进行。方块660的UV固化工艺的工艺条件可与方块240的UV固化工艺的工艺条件相同或类似。
在方法600中可进行上述各种除气及排空工艺。举例而言,在使该多孔的低k介电层暴露至该含乙烯基硅烷的化合物之后或是在将该多孔的低k介电层暴露至硅烷化作用剂之前,使除气气体流至腔室中及/或排空腔室会是有利的。
图7为工艺流程图,该图说明根据本文实施例的修复损伤薄膜的另一方法700。该方法700类似于方法500与600,除了该多孔的低k介电层是依序暴露至该硅烷化作用剂与该含乙烯基硅烷的化合物以外。在方块710,基板(该基板上配置有多孔的低k介电层)置于处理腔室中。在方块720,该处理腔室可被排空。
在方块730,该多孔的低k介电层暴露于硅烷化作用剂。该硅烷化作用剂可具有液态或气态形式。载气(诸如氦气、氩气、氮气及所述者的组合)可用以帮助该硅烷化作用剂流到该处理腔室中。工艺条件可与先前所述的用于将硅烷化作用剂注入至处理腔室中的工艺条件相同或类似。
在方块740,该多孔的低k介电层可选择地暴露至紫外线(UV)固化工艺,以修复该低k介电薄膜100。方块740的UV固化工艺可在方块730的工艺之前进行、可与方块730的工艺同时进行、可在方块730的工艺之后进行,或是以前述次序的任意组合方式进行。方块740的UV固化工艺的工艺条件可与方块240的UV固化工艺的工艺条件相同或类似。
在方块750,该多孔的低k介电层暴露于含乙烯基硅烷的化合物。该含乙烯基硅烷的化合物可具有液态或气态形式。载气(诸如氦气、氩气、氮气及所述者的组合)可用以辅助该含有乙烯基硅烷的化合物流入处理腔室中。工艺条件可与先前所述的用于将含乙烯基硅烷的化合物注入至处理腔室中的工艺条件相同或类似。
在方块760,该多孔的低k介电层可选择地暴露至紫外线(UV)固化工艺,以修复该低k介电薄膜100。方块760的UV固化工艺可在方块750的工艺之前进行、可与方块750的工艺同时进行、可在方块750的工艺之后进行,或是以前述次序的任意组合方式进行。方块760的UV固化工艺的工艺条件可与方块240的UV固化工艺的工艺条件相同或类似。
在方法700中可进行上述各种除气及排空工艺。举例而言,在使该多孔的低k介电层暴露至硅烷化作用剂之后,或是在将该多孔的低k介电层暴露至该含乙烯基硅烷的化合物之前,使除气气体流至腔室中及/或排空腔室会是有利的。
图8为工艺流程图,该图说明根据本文实施例的修复损伤薄膜的另一方法800。该方法800类似于方法200、300、400与500,除了该多孔的低k介电层是暴露于含乙烯基的化合物、氧化化合物与该硅烷化作用剂的暴露可同时进行。对该含乙烯基硅烷的化合物、该氧化化合物与该硅烷化作用剂可依序发生。在暴露为依序的某些实施例中,可于每一暴露工艺之间执行可选的除气工艺。在方块810,基板(该基板上配置有多孔的低k介电层)置于处理腔室中。在方块820,该处理腔室可被排空。在方块830,该多孔的低k介电层暴露于含乙烯基硅烷的化合物、氧化化合物与硅烷化作用剂。该含乙烯基硅烷的化合物、氧化化合物与硅烷化作用剂各可具有液态或气态形式。该含乙烯基硅烷的化合物、该氧化化合物与硅烷化作用剂可在被供应至该腔室之前进行混合或是分别进入腔室后于该处理腔室内部进行混合。载气(诸如氦气、氩气、氮气及所述者的组合)可用以帮助所述各种化合物流到该处理腔室中。工艺条件可与先前所述的用于将含乙烯基硅烷的化合物、氧化化合物与硅烷化作用剂注入至处理腔室中的工艺条件相同或类似。在方块840,该多孔的低k介电层可选择地暴露至紫外线(UV)固化工艺,以修复该低k介电薄膜100。方块840的UV固化工艺可在方块830的工艺之前进行、可与方块830的工艺同时进行、可在方块830的工艺之后进行,或是以前述次序的任意组合方式进行。方块840的UV固化工艺的工艺条件可与方块240的UV固化工艺的工艺条件相同或类似。
在已经修复介电薄膜100之后,可进行后续工艺以继续半导体的制造。举例而言,扩散阻障106可沉积至介电薄膜100的特征结构104中,且金属材料107(举例而言,例如铜或铜合金)亦可沉积至特征结构104中,如图1D所示。可能有必要平坦化该金属材料107,并自金属材料107移除在平坦化期间所形成的任何氧化物。一般的金属氧化物移除技术包括了氢或氨等离子体的使用。平坦化及/或金属氧化物移除工艺会再次损害介电薄膜100的表面,如图1E所示。可利用上述修复工艺中任一者来修复介电薄膜100,如图1F所示。
所述修复工艺可有效降低受损介电薄膜的k值,因而能够持续减小半导体元件特征结构。
下表提供了一般适用于修复与降低半导体制造中所使用的低k介电薄膜的介电常数的例示处理条件与流率。
表1
图9为可用以实行本文所述实施例的例示处理腔室的截面图。图9是基于目前由应用材料公司所制造的腔室的特征结构。PRODUCER CVD腔室(200mm或300mm)具有两个隔离的处理区,这些处理区可用以沉积碳掺杂的硅氧化物与其他材料。
图9说明了一种前后并排(tandem)的处理腔室900,该处理腔室900被配置以进行UV固化。该前后并排的处理腔室900包括本体901与可铰接至该本体901的盖体903。耦接至该盖体903的是两个外壳905,外壳905是各耦接至进流口以及出流口,以使冷却空气流过外壳905的内部。冷却空气可为室温或大致为摄氏22度。中央加压空气来源(未图示)提供了充分流率的空气至进流口,以保证与该前后并排的处理腔室900相关联的任何UV灯灯泡及/或灯泡的功率源913的适当运作。
图9绘示了具有盖体903、外壳905与功率源913的该前后并排的处理腔室900的部分截面图,处理腔室900被配置以进行UV固化。每一外壳905覆盖分别配置在本体901内所限定的两个处理区920上方的两个UV灯灯泡902中个别的一个。每一个处理区920包括加热座906以于该处理区920内支撑基板908。加热座906可由陶瓷或金属(诸如铝)制成。较佳为,加热座906耦接至杆柄910,杆柄910延伸通过本体901的底部并由驱动系统912予以操作以使加热座906在处理区920中移向及移离该UV灯灯泡902。驱动系统912也可于固化期间旋转及/或横移加热座906,以进一步增近基板照射的均匀性。除了根据光线传送系统设计考量(诸如焦距长度)而对基板908上的入射UV辐射等级进行可能的细微调整以外,加热座906的可调整定位可控制挥发性的固化副产物以及除气与清洁气体的流动样式与残留时间。
一般而言,本发明的实施例涵盖任何UV源,诸如汞微波电弧灯、脉冲式氙气闪光灯或高效率UV发光二极管阵列。UV灯灯泡902为密封式等离子体灯泡,其填有一或多种气体,诸如氙(Xe)或汞(Hg),以受功率源913所激发。较佳为,功率源913为微波产生器,功率源913可包含一或多个磁电管(未图示)以及一或多个变压器(未图示)以激化磁电管的灯丝。在具有千瓦(MW)微波功率源的一个实施例中,每一个外壳905都包括与该功率源913相邻的孔径915,以自功率源913接收达约6,000瓦的微波功率,进而从每一个灯泡902产生达约100瓦的UV光。在另一实施例中,这些UV灯灯泡902中可包括电极或灯丝,因此该功率源913可代表对电极的电路及/或电流供应,诸如直流(DC)或脉冲式DC。
某些实施例的功率源913可包含射频(RF)能量源,其可激发UV灯灯泡902内的气体。在灯泡中的RF激发的配置可为电容性或电感性。电感耦合等离子体(ICP)灯泡可用以通过产生比电容耦合放电更致密的等离子体而有效增加灯泡辉度。此外,ICP灯消除了因电极衰减所致的UV输出衰减,因而产生较长寿命灯泡而增进系统生产力。以RF能量源作为功率源913的优点包括可增加效率。
较佳为,灯泡902发出跨越170nm至400nm的宽带波长的光。选择于灯泡902内使用的气体可决定发射波长。由于当氧存在时,较短的波长会倾向于产生臭氧,因此可调整灯泡902所发出的UV光以主要产生高于200nm的宽带UV光,以避免于固化工艺中的臭氧生成。
UV灯灯泡902所发出的UV光通过经由配置在盖体903的孔径中的窗部914而进入处理区920。窗部914较佳是由无OH的合成石英玻璃所致成,且可具有足够的厚度以保持真空而不破裂。此外,窗部914较佳为熔化的二氧化硅,该窗部914可传送低达约150nm的UV光。由于盖体903对本体901密封且窗部914对盖体913密封,因此处理区920提供了可维持约1托耳至约650托耳压力的空间。处理或清洁气体917经由两个进流通道916中的个别的一个而进入处理区920。处理或清洁气体917接着经由共同出流口918而离开处理区920。除此之外,供应至外壳905的内部的冷却空气环绕通过灯泡902,但是通过窗部914而与处理区920隔离。
实例
本文所说明的实施方式的目标及优点,将通过以下假设的实施例而进一步说明。在这些实施例中引用的特定材料及量以及其他条件及细节,不应被用来限定本文所说明的实施。
实例1:
经由化学暴露的直接Si-H减少:将包含受损孔洞的CDO薄膜的基板先放置在腔室内。然后将腔室利用真空泵而排空。在排空之后,将三甲基乙烯基硅烷(TMVS,CH2=CH-SiMe3)导入至腔室。Si-H分子部分与TMVS反应以形成Si-CH2-CH2-SiMe3。可在同时间导入惰性气体。工艺压力可以是在大气压力或低于大气压力。基板温度可以是在室温或更高。可使用紫外(UV)光暴露以协助/加速反应。基板最终是在暴露后从腔室中移除,具有比进入腔室前更少的Si-H键。烯烃、乙烯基硅烷、乙酰丙酮、乙酸乙烯酯、苯乙烯及丙烯酰胺已知可与Si-H反应。在这些家族中的化学品及化学品衍生物可用以取代TMVS。
实例2:
经由氧化作用及硅烷化作用的间接Si-H减少:将包含受损孔洞的CDO薄膜的基板先放置在腔室内。然后将腔室利用真空泵而排空。在排空之后,将氧、一氧化二氮、臭氧或所述者的混合物导入至腔室,以产生Si-H的氧化以形成Si-OH。可在同时间导入惰性气体。工艺压力可以是在大气压力或低于大气压力。基板温度可以是在室温或更高。可使用紫外(UV)光暴露以协助/加速反应。在氧化后,基板具有比进入腔室前更少的Si-H键及更多的Si-OH键。第二步骤硅烷化作用是遵循氧化作用。在这个步骤中,将CTMS导入至腔室中以与Si-OH反应,以形成Si-O-SiMe3。可在同时间导入惰性气体。工艺压力可以是在大气压力或低于大气压力。基板温度可以是在室温或更高。可使用紫外(UV)光暴露以协助/加速反应。基板最终是在暴露后从腔室中移除。整体的结果是经由氧化作用及硅烷化作用减少Si-H键结。除了CTMS以外的一种或多种硅烷化作用剂也可用以取代CTMS。
实例3:
同时的Si-H及Si-OH减少—技术I:Si-H及Si-OH可同时减少。将包含受损孔洞的CDO薄膜的基板先放置在腔室内。然后将腔室利用真空泵而排空。在排空之后,将TMVS及CTMS的混合物(一种可能的组合例子)导入至腔室内以同时减少Si-H及Si-OH。可同时导入惰性气体。工艺压力可以是在大气压力或低于大气压力。基板温度可以是在室温或更高。可使用紫外(UV)光暴露以协助/加速反应。基板最终是在暴露后从腔室中移除,具有比进入腔室前更少的Si-H键及更少的Si-OH键。
实例4:
同时的Si-H及Si-OH减少—技术II:Si-H及Si-OH可同时减少。将包含受损孔洞的CDO薄膜的基板先放置在腔室内。然后将腔室利用真空泵而排空。在排空之后,将一氧化二氮及CTMS的混合物(一种可能的组合例子)导入至腔室内,以将Si-H转换成Si-OH并同时减少Si-OH。可同时导入惰性气体。工艺压力可以是在大气压力或低于大气压力。基板温度可以是在室温或更高。可使用紫外(UV)光暴露以协助/加速反应。基板最终是在暴露后从腔室中移除,具有比进入腔室前更少的Si-H键及更少的Si-OH键。
实例5:
同时的Si-H及Si-OH减少—技术III:Si-H及Si-OH可同时减少。将包含受损孔洞的CDO薄膜的基板先放置在腔室内。然后将腔室利用真空泵而排空。在排空之后,将一氧化二氮、TMVS及CTMS的混合物(一种可能的组合例子)导入至腔室内,以将Si-H转换成Si-OH、减少Si-H并同时减少Si-OH。可同时导入惰性气体。工艺压力可以是在大气压力或低于大气压力。基板温度可以是在室温或更高。可使用紫外(UV)光暴露以协助/加速反应。基板最终是在暴露后从腔室中移除,具有比进入腔室前更少的Si-H键及更少的Si-OH键。
实例6:
多重步骤串接Si-H及Si-OH减少:A.如所述的如本文先前所述的直接Si-H减少(例如,实例1)。B.如本文先前所述的间接Si-H减少(例如,实例2)。C.经由硅烷化作用的Si-OH减少(伴随或不伴随同时的UV照射)。D.如本文先前所述的同时Si-H及Si-OH减少(例如,实例3)。E.如本文先前所述的同时Si-H及Si-OH减少(例如,实例4)。F.如本文先前所述的同时Si-H及Si-OH减少技术III(例如,实例5)。G.UV照射仅伴随或不伴随惰性气体(诸如,氦、氮及氩)。这些步骤的顺序可以是任何的顺序,并且在基板进入腔室的时间及基板离开腔室的时间之间,每个步骤都可使用超过一次。在任何顺序中,至少本发明先前所说明的步骤之一(A、B、D、E或F)是存在的。例如,一种顺序可以是:基板入→C→G→A→G→基板出。另一个例子可以是基板入→C→A→G→基板出。
虽然上述是有关于本发明的实施,但本发明的其他及进一步实施可在不脱离本发明基本范畴外而设计,以及本发明范畴是通过所附的权利要求书而决定。

Claims (15)

1.一种修复损伤的低k介电层的方法,包括:
使多孔的低k介电层暴露于含乙烯基硅烷的化合物;及
可选择地使所述多孔的低k介电层暴露于紫外线(UV)固化工艺。
2.如权利要求1所述的方法,其中所述使多孔的低k介电层暴露于含乙烯基硅烷的化合物与所述使所述多孔的低k介电层暴露于一UV固化工艺为同时进行。
3.如权利要求1所述的方法,其中所述含乙烯基硅烷的化合物具有下列化学式:
其中R1、R2及R3分别选自氢(H)、烷基、氯、乙烯基及烷氧基。
4.如权利要求3所述的方法,其中所述含乙烯基硅烷的化合物为三甲基乙烯基硅烷(TMVS)。
5.如权利要求3所述的方法,其中所述含乙烯基硅烷的化合物为呈气相。
6.如权利要求1所述的方法,更包括在使多孔的低k介电层暴露于含乙烯基硅烷的化合物之前,先将所述多孔的低k介电层放置在处理腔室中。
7.如权利要求6所述的方法,其中所述处理腔室处于介于50毫托耳与500托耳之间的压力,所述介电层处于介于100℃与400℃间的温度,所述含乙烯基硅烷的化合物以介于1sccm与10000sccm之间的流率流至所述处理腔室中,所述介电层与UV辐射接触,所述UV辐射处在介于100W/m2与2000W/m2之间的UV辐射功率及介于100nm与400nm之间的UV波长。
8.如权利要求1所述的方法,更包括以下步骤:
使所述多孔的低k介电层暴露于硅烷化作用剂。
9.如权利要求8所述的方法,其中所述硅烷化作用剂是选自由六甲基二硅氮烷(HMDS)、四甲基二硅氮烷(TMDS)、三甲基氯硅烷(TMCS)、二甲基二氯硅烷(DMDCS)、甲基三氯硅烷(MTCS)、三甲基甲氧基硅烷(TMMS)(CH3-O-Si-(CH3)3)、二甲基二甲氧基硅烷(DMDMS)((CH3)2-Si-(OCH3)2)、甲基三甲氧基硅烷(MTMS)((CH3-O-)3-Si-CH3)、苯基三甲氧基硅烷(PTMOS)(C6H5-Si-(OCH3)3)、苯基二甲基氯硅烷(PDMCS)(C6H5-Si(Cl)-(CH3)2)、二甲基氨基三甲基硅烷(DMATMS)((CH3)2-N-Si-(CH3)3)或双(二甲基氨基)二甲基硅烷(BDMADMS)所组成的群组。
10.如权利要求8所述的方法,更包括以下步骤:使所述多孔的低k介电层暴露于氧化化合物。
11.如权利要求10所述的方法,其中所述氧化化合物是选自由氧(O2)、含氧的化合物、臭氧(O3)、含臭氧的化合物、过氧化氢(H2O2)、含过氧化氢的化合物及所述者的组合所组成的群组。
12.如权利要求10所述的方法,其中使所述多孔的低k介电层暴露于含乙烯基硅烷的化合物、使所述多孔的低k介电层暴露于含硅烷基的化合物以及使所述多孔的低k介电层暴露于氧化化合物是同时进行。
13.一种修复损伤的低k介电层的方法,包括:
将多孔的低k介电层放置在处理腔室中;
使多孔的低k介电层暴露于氧化化合物;
使所述多孔的低k介电层暴露于硅烷化作用剂;及
可选择地使所述多孔的低k介电层暴露于紫外线(UV)固化工艺。
14.如权利要求13所述的方法,其中所述使所述多孔的低k介电层暴露于氧化化合物与所述使所述多孔的低k介电层暴露于UV固化工艺为同时进行。
15.如权利要求13所述的方法,其中所述处理腔室处于介于50毫托耳与500托耳之间的压力,所述介电层处于介于100℃与400℃间的温度,所述硅烷化作用剂以介于1sccm与10000sccm之间的流率流至所述处理腔室中,且所述氧化化合物以介于1sccm与10000sccm之间的流率流至所述处理腔室中。
CN201380039886.4A 2012-07-02 2013-05-28 通过气相化学暴露的低k介电质损伤修复 Pending CN104508805A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201261667237P 2012-07-02 2012-07-02
US61/667,237 2012-07-02
PCT/US2013/042921 WO2014007924A1 (en) 2012-07-02 2013-05-28 Low-k dielectric damage repair by vapor-phase chemical exposure

Publications (1)

Publication Number Publication Date
CN104508805A true CN104508805A (zh) 2015-04-08

Family

ID=49778568

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201380039886.4A Pending CN104508805A (zh) 2012-07-02 2013-05-28 通过气相化学暴露的低k介电质损伤修复

Country Status (6)

Country Link
US (2) US8877659B2 (zh)
JP (2) JP6192719B2 (zh)
KR (1) KR102138158B1 (zh)
CN (1) CN104508805A (zh)
TW (1) TW201403711A (zh)
WO (1) WO2014007924A1 (zh)

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10037905B2 (en) 2009-11-12 2018-07-31 Novellus Systems, Inc. UV and reducing treatment for K recovery and surface clean in semiconductor processing
US9245739B2 (en) 2006-11-01 2016-01-26 Lam Research Corporation Low-K oxide deposition by hydrolysis and condensation
US8911553B2 (en) * 2010-10-19 2014-12-16 Applied Materials, Inc. Quartz showerhead for nanocure UV chamber
US9431238B2 (en) * 2014-06-05 2016-08-30 Asm Ip Holding B.V. Reactive curing process for semiconductor substrates
US10113234B2 (en) 2014-07-21 2018-10-30 Applied Materials, Inc. UV assisted silylation for porous low-k film sealing
US9659765B2 (en) 2014-07-21 2017-05-23 Applied Materials, Inc. Enhancement of modulus and hardness for UV-cured ultra low-k dielectric films
US20160049293A1 (en) 2014-08-14 2016-02-18 Air Products And Chemicals, Inc. Method and composition for providing pore sealing layer on porous low dielectric constant films
US10049921B2 (en) 2014-08-20 2018-08-14 Lam Research Corporation Method for selectively sealing ultra low-k porous dielectric layer using flowable dielectric film formed from vapor phase dielectric precursor
US9502255B2 (en) 2014-10-17 2016-11-22 Lam Research Corporation Low-k damage repair and pore sealing agents with photosensitive end groups
US10043709B2 (en) 2014-11-07 2018-08-07 Applied Materials, Inc. Methods for thermally forming a selective cobalt layer
US10388546B2 (en) 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric
US9916977B2 (en) * 2015-11-16 2018-03-13 Lam Research Corporation Low k dielectric deposition via UV driven photopolymerization
KR102624608B1 (ko) * 2016-01-19 2024-01-16 삼성전자주식회사 저유전막의 형성 방법 및 이를 이용한 반도체 소자의 제조 방법
US10008408B2 (en) * 2016-06-15 2018-06-26 Globalfoundries Inc. Devices and methods of forming asymmetric line/space with barrierless metallization
KR20180030280A (ko) 2016-09-12 2018-03-22 삼성전자주식회사 배선 구조체를 갖는 반도체 소자
JP6875811B2 (ja) * 2016-09-16 2021-05-26 株式会社Screenホールディングス パターン倒壊回復方法、基板処理方法および基板処理装置
KR102616489B1 (ko) 2016-10-11 2023-12-20 삼성전자주식회사 반도체 장치 제조 방법
US10438806B2 (en) * 2017-04-27 2019-10-08 Tokyo Electron Limited Methods and system of using organosilicates as patterning films
CN110637353A (zh) * 2017-06-02 2019-12-31 应用材料公司 在衬底上沉积的膜的质量改进
JP6960839B2 (ja) * 2017-12-13 2021-11-05 東京エレクトロン株式会社 半導体装置の製造方法
WO2020046980A1 (en) * 2018-08-29 2020-03-05 Applied Materials, Inc. Non-uv high hardness low k film deposition
US11090683B2 (en) * 2018-12-04 2021-08-17 Applied Materials, Inc. Cure method for cross-linking Si-hydroxyl bonds
US11348784B2 (en) 2019-08-12 2022-05-31 Beijing E-Town Semiconductor Technology Co., Ltd Enhanced ignition in inductively coupled plasmas for workpiece processing
US11361974B2 (en) * 2020-09-10 2022-06-14 United Microelectronics Corp. Method for forming semiconductor structure

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030208381A1 (en) * 2000-06-26 2003-11-06 Walter Ervin Dennis Patient health record access system
US20050158884A1 (en) * 2002-01-24 2005-07-21 Gaynor Justin F. Method Of In-Situ Treatment of Low-K Films With a Silylating Agent After Exposure to Oxidizing Environments".
CN1953143A (zh) * 2005-10-18 2007-04-25 联华电子股份有限公司 低介电材料与多孔隙低介电层的回复方法
CN101312129A (zh) * 2007-02-15 2008-11-26 气体产品与化学公司 提高介电膜的材料性能的活化化学方法
US20110020955A1 (en) * 2007-12-19 2011-01-27 Deyoung James Vapor phase repair and pore sealing of low-k dielectric materials

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI222426B (en) 1998-04-01 2004-10-21 Asahi Kasei Corp Method for producing a circuit structure
US6395651B1 (en) 1998-07-07 2002-05-28 Alliedsignal Simplified process for producing nanoporous silica
US20030054115A1 (en) 2001-09-14 2003-03-20 Ralph Albano Ultraviolet curing process for porous low-K materials
JP3898133B2 (ja) * 2003-01-14 2007-03-28 Necエレクトロニクス株式会社 SiCHN膜の成膜方法。
CN1742363B (zh) * 2003-01-25 2010-10-13 霍尼韦尔国际公司 受损电介质材料和电介质膜的修复和恢复
US7241704B1 (en) 2003-03-31 2007-07-10 Novellus Systems, Inc. Methods for producing low stress porous low-k dielectric materials using precursors with organic functional groups
US7094661B2 (en) * 2004-03-31 2006-08-22 Dielectric Systems, Inc. Single and dual damascene techniques utilizing composite polymer dielectric film
KR101063591B1 (ko) * 2004-10-27 2011-09-07 인터내셔널 비지네스 머신즈 코포레이션 금속간 유전체로서 사용된 낮은 k 및 극도로 낮은 k의 오가노실리케이트 필름의 소수성을 복원하는 방법 및 이로부터 제조된 물품
US7678682B2 (en) * 2004-11-12 2010-03-16 Axcelis Technologies, Inc. Ultraviolet assisted pore sealing of porous low k dielectric films
JP5019714B2 (ja) * 2005-01-31 2012-09-05 大陽日酸株式会社 低誘電率膜のダメージ回復法
JP4579728B2 (ja) * 2005-03-17 2010-11-10 Hoya株式会社 フォトマスク用ブランクの製造方法とフォトマスク用ブランクおよびフォトマスクの製造方法とフォトマスク
US20060251827A1 (en) 2005-05-09 2006-11-09 Applied Materials, Inc. Tandem uv chamber for curing dielectric materials
US7446058B2 (en) * 2006-05-25 2008-11-04 International Business Machines Corporation Adhesion enhancement for metal/dielectric interface
JP5548332B2 (ja) * 2006-08-24 2014-07-16 富士通セミコンダクター株式会社 半導体デバイスの製造方法
US8465991B2 (en) * 2006-10-30 2013-06-18 Novellus Systems, Inc. Carbon containing low-k dielectric constant recovery using UV treatment
JP2008117903A (ja) * 2006-11-02 2008-05-22 Toshiba Corp 半導体装置の製造方法
US7500397B2 (en) * 2007-02-15 2009-03-10 Air Products And Chemicals, Inc. Activated chemical process for enhancing material properties of dielectric films
JP4413947B2 (ja) * 2007-06-21 2010-02-10 株式会社東芝 半導体装置の製造方法
JP2009289996A (ja) * 2008-05-29 2009-12-10 Renesas Technology Corp 半導体装置の製造方法および半導体装置
US20100087062A1 (en) 2008-10-06 2010-04-08 Applied Materials, Inc. High temperature bd development for memory applications
JP4708465B2 (ja) * 2008-10-21 2011-06-22 東京エレクトロン株式会社 半導体装置の製造方法及び半導体装置の製造装置
WO2010064306A1 (ja) * 2008-12-03 2010-06-10 富士通株式会社 半導体装置の製造方法
US8377823B2 (en) * 2010-02-17 2013-02-19 Renesas Electronics Corporation Semiconductor device including porous layer covered by poreseal layer
JP2012104616A (ja) * 2010-11-09 2012-05-31 Hiroshima Univ 低誘電率膜の前駆体組成物及びこれを用いた低誘電率膜の製造方法
US20120258259A1 (en) 2011-04-08 2012-10-11 Amit Bansal Apparatus and method for uv treatment, chemical treatment, and deposition
US8492170B2 (en) 2011-04-25 2013-07-23 Applied Materials, Inc. UV assisted silylation for recovery and pore sealing of damaged low K films
US8216861B1 (en) 2011-06-28 2012-07-10 Applied Materials, Inc. Dielectric recovery of plasma damaged low-k films by UV-assisted photochemical deposition

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030208381A1 (en) * 2000-06-26 2003-11-06 Walter Ervin Dennis Patient health record access system
US20050158884A1 (en) * 2002-01-24 2005-07-21 Gaynor Justin F. Method Of In-Situ Treatment of Low-K Films With a Silylating Agent After Exposure to Oxidizing Environments".
CN1953143A (zh) * 2005-10-18 2007-04-25 联华电子股份有限公司 低介电材料与多孔隙低介电层的回复方法
CN101312129A (zh) * 2007-02-15 2008-11-26 气体产品与化学公司 提高介电膜的材料性能的活化化学方法
US20110020955A1 (en) * 2007-12-19 2011-01-27 Deyoung James Vapor phase repair and pore sealing of low-k dielectric materials

Also Published As

Publication number Publication date
US8877659B2 (en) 2014-11-04
US20140004717A1 (en) 2014-01-02
JP6422536B2 (ja) 2018-11-14
WO2014007924A1 (en) 2014-01-09
JP2018011061A (ja) 2018-01-18
JP6192719B2 (ja) 2017-09-06
US9123532B2 (en) 2015-09-01
JP2015529007A (ja) 2015-10-01
TW201403711A (zh) 2014-01-16
US20150111396A1 (en) 2015-04-23
KR20150035505A (ko) 2015-04-06
KR102138158B1 (ko) 2020-07-27

Similar Documents

Publication Publication Date Title
CN104508805A (zh) 通过气相化学暴露的低k介电质损伤修复
US7851232B2 (en) UV treatment for carbon-containing low-k dielectric repair in semiconductor processing
KR100672066B1 (ko) 전자기 방사를 이용하는 반도체들의 표면 변경
US8657961B2 (en) Method for UV based silylation chamber clean
CN103430291A (zh) 用于恢复及封孔受损的低介电常数薄膜的紫外线辅助硅烷化
EP1873818A2 (en) Process for curing dielectric films
JP2002502108A5 (zh)
US20120208366A1 (en) Prevention and reduction of solvent and solution penetration into porous dielectrics using a thin barrier layer
TW201133623A (en) Post-planarization densification
US10373823B2 (en) Deployment of light energy within specific spectral bands in specific sequences for deposition, treatment and removal of materials
CN104471687A (zh) 降低多孔低k膜的介电常数的方法
US20100330773A1 (en) Substrate processing method and substrate processing apparatus
KR102085547B1 (ko) 손상된 저 k 필름들의 기공 밀봉을 위한 uv-보조된 광화학 증기 증착법
JP2012204692A (ja) 半導体装置の製造方法
JP2012204693A (ja) 基板処理装置及び半導体装置の製造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20150408

WD01 Invention patent application deemed withdrawn after publication