CN104471687A - 降低多孔低k膜的介电常数的方法 - Google Patents

降低多孔低k膜的介电常数的方法 Download PDF

Info

Publication number
CN104471687A
CN104471687A CN201380036771.XA CN201380036771A CN104471687A CN 104471687 A CN104471687 A CN 104471687A CN 201380036771 A CN201380036771 A CN 201380036771A CN 104471687 A CN104471687 A CN 104471687A
Authority
CN
China
Prior art keywords
dielectric film
low
exposed
siliceous
siliceous dielectric
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201380036771.XA
Other languages
English (en)
Inventor
K·陈
J·徐
K·S·伊姆
A·T·迪莫斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN104471687A publication Critical patent/CN104471687A/zh
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76825Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/1042Formation and after-treatment of dielectrics the dielectric comprising air gaps
    • H01L2221/1047Formation and after-treatment of dielectrics the dielectric comprising air gaps the air gaps being formed by pores in the dielectric

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本发明的实施例一般是关于降低半导体制造中所使用的低k介电质薄膜的介电常数之方法。在一实施例中,一种用于降低低k的含硅介电质薄膜的介电常数(k)的方法包括使多孔的低k的含硅介电质薄膜暴露于氢氟酸溶液,接着使该低k的含硅介电质薄膜暴露于硅烷化作用剂。硅烷化作用剂与该多孔的低k介电质薄膜中的Si-OH官能基反应,以增加低k介电质薄膜中的碳浓度。

Description

降低多孔低K膜的介电常数的方法
背景
领域
本发明的实施例一般是关于用于降低半导体制造中所使用的低k介电质薄膜的介电常数的方法。
相关领域描述
半导体制造中的介电质薄膜的介电常数(k)随着器件持续缩小而继续降低。为能继续降低特征尺寸,最小化对低介电常数(低k)薄膜的整合破坏是重要的。然而,随着特征尺寸缩减,介电质薄膜的阻抗性电容和可靠度的提升则变成一项重要挑战。
包括例如碳掺杂的氧化物(CDO)的多孔的低k介电质薄膜在暴露于整合步骤(例如、但不限于抛光、蚀刻、灰化以及清洁)时,会受到对该等薄膜的键结结构的破坏。具有较高的k值的介电质薄膜比较能够在接续的整合步骤中幸存;然而,随着特征尺寸缩减,一般都希望在最终薄膜中有较低的k值。举例而言,对于镶嵌工艺而言,图案化的低k介电质薄膜一般填有铜,然后再进行化学机械平坦化(CMP)工艺来平坦化铜薄膜。具有较高k值的介电质薄膜更为机械强健性且较能够在CMP工艺中存留而不受明显破坏。反之,具有较低的介电常数的介电质薄膜则机械强健性较弱,并且会受到CMP工艺明显破坏。
因此,为能增进效率并得到更小的器件尺寸,需要一种用于降低介电质薄膜的k值的方法。
概要
本发明的实施例一般是关于用于降低半导体制造中所使用的低k介电质薄膜的介电常数的方法。在一实施例中,一种用于降低低介电常数(k)的含硅介电质薄膜的介电常数的方法包括使多孔的低k的含硅介电质薄膜暴露于氢氟酸溶液,及接着使该低k的含硅介电质薄膜暴露于硅烷化作用剂。
在另一实施例中,提供一种用于降低低介电常数(k)的含硅介电质薄膜的介电常数的方法。该方法包括使多孔的低k的含硅介电质薄膜暴露于氢氟酸溶液,使该低k的含硅介电质薄膜暴露于气化的硅烷化作用剂,以及使该低k的含硅介电质薄膜暴露于紫外线(UV)固化工艺。
附图简述
为使本发明的上述记载特征能够被详细理解,现将参照实施例(该等实施例中的部分已说明于附图中)来对上述简要记载的本发明进行更具体描述。然应注意,附图仅说明本发明的典型实施例,因此不应被视为对本发明范围的限制,因为本发明也允许其它的等效实施例。
图1A至图1D说明在根据本文所述之实施例的各个处理阶段中的介电质薄膜;
图2为工艺流程图,该流程图说明了根据本文所述之实施例的一种用于降低低k介电质薄膜的k值的方法;
图3是可用于实施本文所述之实施例的一种例示处理腔室的截面图。
为帮助理解,已尽可能使用相同的元件符号来代表附图间共同的相同元件。应知一个实施例的元件及/或工艺步骤可有利的并入其它实施例,而不需额外载述。
详细描述
本发明的实施例一般是关于用于降低在半导体制造中所使用的低k介电薄膜的介电常数的方法。VLSI/ULSI需要使用具有越来越低的介电常数的后端介电质。本文所述的实施例的一种可能应用是使介电质薄膜具有高介电常数(亦即,较少的碳)以于某些整合步骤中存留,并接着进行处理以具有增加的碳浓度(亦即,较低的k)。多孔的低k介电质薄膜的碳含量的微调则为另一项可能应用。
含有多孔的低k介电质薄膜(例如CDO)或多孔的低k介电质薄膜层的基板被浸泡于氢氟酸(HF)溶液中。相较于在HF暴露之前的低k介电质薄膜,氢氟酸与多孔的低k介电质薄膜反应,以于该低k介电质薄膜中产生较高浓度的Si-OH官能基。接着以润洗溶剂/溶液来润洗该基板,然后使该基板干燥。在HF暴露之后,基板接着暴露于气相或液相的硅烷化作用剂。硅烷化作用剂与多孔的低k介电质薄膜中的Si-OH官能基反应,以增加低k介电质薄膜中的碳浓度。如果需要的话,可润洗并干燥基板。该基板可同时暴露于硅烷化作用剂与UV光两者。该基板可在暴露于硅烷化作用剂之后才暴露于UV光。由于增加的碳浓度,多孔的低k介电质薄膜的介电常数会比在HF暴露之前更低。在此,浓度指的是每单位体积的摩尔数。HF暴露工艺可经定时以控制Si-OH官能基的量。这一控制进而支配最终的碳浓度,并因而支配所得到的介电常数。
图1A说明了沉积在结构101上的介电质薄膜100。结构101可以是基板(例如硅晶圆)或是先前所形成的层(举例而言,如金属化或互连层)。该低k介电质薄膜100可为任何传统的多孔、低k、以硅为基础的介电质材料,该介电质材料具有的k值约为3或更低。例示的低k介电质薄膜包括:例如SiO2、SiOC、SiON、SiCOH、SiOCN以及其它的相关薄膜。在一实施例中,低k介电质薄膜是有机硅酸玻璃(OSG,也称为SiCOH),该有机硅酸玻璃是含有碳与氢原子的硅氧化物。SiCOH具有的k值介于约2和3之间,且可从加州圣塔克莱应用材料公司取得(即“Black Diamond IITM”)。该低k介电质薄膜100可具有形成于该薄膜中的孔洞102。这些孔洞可为纳米孔洞。纳米孔洞可具有介于约0.5纳米至约20纳米之间的直径。低k介电质薄膜可藉由等离子体增强的化学气相沉积(PECVD)工艺或任何其它适合的沉积技术沉积而成。该低k介电质薄膜100可以是多孔的碳掺杂的氧化物(CDO)薄膜。该低k介电质薄膜100可具有的k值大于在薄膜处理之后的介电质薄膜的k值。
图1B说明了在经过平坦化与蚀刻以于该低k介电质薄膜100中形成特征结构104之后的低k介电质薄膜100。低k介电质薄膜100可藉由例如化学机械平坦化(CMP)工艺而进行平坦化。低k介电质薄膜100可藉由以下步骤来蚀刻:遮蔽低k介电质薄膜100的一部分、使低k介电质薄膜100的未遮蔽部分与由氢氟酸(HF)蒸气所形成的等离子体接触、并利用例如由氧(O2)气体或CO2气体所形成的等离子体来灰化去掉屏蔽。在进行使用本文所述的实施例的任何处理步骤之后,低k介电质薄膜100的k值可被降低。
图1C说明了在扩散阻障层106可被沉积在低k介电质薄膜100的特征结构104中及金属材料107(举例而言,例如铜或铜合金)可被沉积在特征结构104中之后的低k介电质薄膜100。如图1D所示,可能需要平坦化该金属材料107并自金属材料107移除在平坦化期间所形成的任何氧化物。常见的金属氧化物移除技术涉及了氢或氨等离子体的使用。若该低k介电质薄膜100具有较低的k值时,平坦化及/或金属氧化物移除工艺便会破坏低k介电质薄膜100的表面。因此,低k介电质薄膜100在处理之前与处理期间需要具有比进行各种处理步骤后的介电质薄膜100的k值更高的k值。在进行了使用本文所述的k值降低工艺的任何前述处理步骤之后,介电质薄膜100的k值可被降低。
图2为工艺流程图,该流程图说明了根据本文所述的实施例的一种用于降低低k介电质薄膜的k值的方法200。在方块210,将基板(该基板具有置于该基板上的低k介电质薄膜)定位于处理腔室中。基板与低k介电质薄膜类似于图1A至图1D中所述的低k介电质薄膜100和结构101。低k介电质薄膜一般具有初始k值,该初始k值高于在进行方法200之后的薄膜的最终k值。处理腔室类似于图3中所述的处理腔室300。
在方块220,基板可任选地进行原位处理或在独立处理腔室中进行处理,以利用任何适当的干式或湿式蚀刻工艺而于低k介电质薄膜中形成特征结构,例如通孔及/或沟槽。留在基板上的任何屏蔽材料及/或由蚀刻工艺所产生的残余物可利用灰化工艺或任何其它适当技术而于原位或在专用处理腔室中进行剥离/移除。可用于形成特征结构的其它整合工艺包括平坦化工艺、扩散阻障层沉积工艺、金属沉积工艺及前述工艺的组合。
在方块230,低k介电质薄膜被暴露于氢氟酸(HF)溶液。氢氟酸溶液可为液相或气相。氢氟酸溶液可以是稀释的氢氟酸(DHF)溶液。氢氟酸溶液可以是缓冲的缓冲氢氟酸(BHF)或非缓冲的。用于缓冲HF之例示缓冲剂包括氟化铵(NH4F)。选择氢氟酸溶液是因为相信氢氟酸溶液会使低k介电质薄膜中Si-O-Si键结网络的一部分断裂而形成Si-OH键。在低k介电质薄膜中的Si-OH键将使额外的碳可插入低k介电质薄膜中,而导致低k介电质薄膜的k值降低。例如稀释的氢氟酸溶液的浓度与低k介电质薄膜对稀释的HF暴露的时间将影响Si-O-Si网络的断裂数量。
低k介电质薄膜可被浸泡于稀释的酸溶液中达例如约30秒至约800秒的时间。在某些实施例中,可将稀释的酸溶液喷洒于低k介电质薄膜上。任选地,在低k介电质薄膜暴露于氢氟酸溶液之后,利用例如去离子(DI)水的暴露后润洗工艺可用以清洁基板表面。在任选地进行的清洁工艺之后可接以任选的干燥工艺,该干燥工艺使用本领域中所已知的干燥方法。
氢氟酸溶液可以是氢氟酸(HF)的去离子水稀释溶液。氢氟酸溶液可以是介于体积百分率为约0.1%至约100%之间的氢氟酸。氢氟酸溶液可以为体积百分率为约1%至约70%的氢氟酸。氢氟酸溶液可包括体积百分浓度为约0.1%至约5%、例如体积百分浓度约0.5%至约1%的氢氟酸。氢氟酸的浸泡可在室温下进行(例如约摄氏20度)。浸泡时间可依据氢氟酸浓度与需要的Si-O-Si键断裂量而变。
在方块230,低k介电质薄膜暴露于硅烷化作用剂。在一实施例中,硅烷化工艺可在基于UV的处理腔室中进行,例如关于图3所讨论的处理腔室300。硅烷化工艺可用以恢复或修复在方块220期间对该低k介电质薄膜所产生的至少部分破坏,同时于低k介电质薄膜中插入额外的碳而进一步降低低k介电质薄膜的k值。多孔的低k介电质薄膜100对硅烷化作用剂的暴露会将介电质薄膜100中的Si-OH基团转化为疏水性基团,例如Si-O-Si(CH3)3基团。疏水性基团帮助将水驱出介电质薄膜100的受损孔洞103。
低k介电薄膜100对硅烷化作用剂的暴露可在气相或液相中进行。气相的硅烷化工艺包括将低k介电质薄膜100与气化的硅烷化作用剂接触,以在上述低k介电质薄膜100中产生Si-O-Si(CH3)3基团。将硅烷化作用剂气化可使硅烷化作用剂深层穿透至低k介电质薄膜100。示例性硅烷化作用剂包括六甲基二硅氮烷(HMDS)、四甲基二硅氮烷(TMDS)、三甲基氯硅烷(TMCS)、二甲基二氯硅烷(DMDCS)、甲基三氯硅烷(MTCS)、三甲基甲氧基硅烷(TMMS)(CH3-O-Si-(CH3)3)、二甲基二甲氧基硅烷(DMDMS)((CH3)2-Si-(OCH3)2)、甲基三甲氧基硅烷(MTMS)((CH3-O)3-Si-CH3)、苯基三甲氧基硅烷(PTMOS)(C6H5-Si-(OCH3)3)、苯基二甲基氯硅烷(PDMCS)(C6H5-Si(Cl)-(CH3)2)、二甲基胺基三甲基硅烷(DMATMS)((CH3)2-N-Si-(CH3)3)、双(二甲基胺基)二甲基硅烷(BDMADMS)或其它包含Si、H及C的化合物。硅烷化作用剂可采取气体或气化液体蒸气的形式。
气相的硅烷化工艺可藉由将低k介电质薄膜100置入处理腔室中、使硅烷化作用剂气化以及使气化的硅烷化作用剂流入处理腔室中而进行。硅烷化作用剂亦可替代地在处理腔室中被气化。硅烷化作用剂可经由位于处理腔室的上部部分处的喷淋头而被注入到处理腔室中。载气(例如He、Ar、N2、H2及前述气体的组合)可用以辅助硅烷化作用剂流入处理腔室中。此外,可在气相的硅烷化工艺中添加触媒(例如水)。气相的硅烷化工艺可在介于约50毫托耳至约500托耳(例如约200毫托耳至约6托耳)的处理腔室压力下进行。在硅烷化工艺中,介电质薄膜可被加热至介于约100℃至约400℃(例如介于约200℃至约390℃)的温度。硅烷化作用剂的流量可介于1sccm至10,000sccm之间,例如介于约100sccm至约2,000sccm之间。硅烷化作用剂的流量可介于约400sccm至约2,000sccm之间。硅烷化作用剂的流量可介于约1mgm与10,000mgm之间,例如介于约100mgm至约2,000mgm之间。硅烷化作用剂的流量可介于1,000mgm与2,000mgm之间。任选的载气的流量可介于1sccm与10,000sccm之间,例如约2,000sccm至约3,000sccm。任选的载气的流量可介于400sccm与2,000sccm之间。气相硅烷化的处理时间可为约1分钟至约10分钟。处理腔室内的压力可于气相硅烷化工艺中加以变化;举例而言,该压力可变化于50托耳与500托耳之间。
使受损的低k介电质薄膜暴露于气化的硅烷化作用剂可对受损薄膜补充碳,也可将额外的碳加至低k介电质薄膜中。举例而言,含甲基或苯基的硅烷化作用剂可与低k介电质薄膜中的Si-OH基团反应,以将亲水性的Si-OH基团转化为疏水性的Si-O-Si键(例如Si-O-Si(CH3)3或Si-O-Si(CH3)2-O-Si基团)。由于疏水性薄膜比亲水性薄膜更不喜于保留水气,因此水气不会影响经处理的低k介电质薄膜的性质。因此,低k介电质薄膜的k值即可回复(亦即降低)。
在方块250,任选地使低k介电质薄膜暴露于紫外线固化工艺。利用配置在UV透明气体分布喷淋头与UV透明窗部上方的UV单元的UV能量,该低k介电质薄膜可在与方块240中所进行的k回复工艺相同的处理腔室中进行固化。方块250的UV固化工艺可于方块240的工艺之前进行、与方块240的工艺同时进行、在方块240的工艺之后进行或前述次序的任何组合。UV固化工艺可藉由将该低k介电质薄膜100放置到处理腔室中并连接UV辐射源以使该低k介电质薄膜100与UV辐射接触而进行。举例而言,该UV辐射源可以是UV灯。UV辐射源可置于处理腔室的外部,且该处理腔室可具有可让UV辐射通过的石英窗部。该低k介电质薄膜100可置于惰性气体环境中,举例而言,如氦气或氩气。该处理腔室也可包含微波源,用以于使该低k介电质薄膜100暴露于UV辐射之前、或与该低k介电质薄膜100暴露于UV辐射同时,加热该低k介电质薄膜100。UV固化工艺也可利用等离子体模拟UV辐射波长而进行。等离子体可藉由对处理气体(例如He、Ar、O2、N2或前述气体的组合)耦接RF功率而形成。等离子体可由远程等离子体源(RPS)形成,并且被传送至该处理腔室。
该UV固化工艺可在介于1托耳与100托耳之间(例如6托耳)的处理腔室压力、介于20℃与400℃之间(例如385℃)的介电质薄膜温度、介于8,000sccm与24,000sccm之间(例如16,000sccm)的环境气体流量、介于2,000sccm与20,000sccm之间(例如12,000sccm)的处理气体流量、介于50W与1,000W之间(例如500W)的RF功率、RF功率频率为13.56MHz、介于10秒与180秒之间(例如60秒)的处理时间、介于100W/m2与2,000W/m2之间(例如1500W/m2)的UV辐照功率以及介于100nm与400nm之间的UV波长下进行。上述UV固化工艺可有利地修复在特征结构104的侧壁中的受损孔洞103。
在一实施例中,UV固化温度可以是从约100℃至约800℃,例如约400℃。UV固化时间可为约10秒至约600秒。UV固化气体可通过UV透明气体分布喷淋头而流到处理腔室中。在一实施例中,惰性固化气体(例如氦气或氩气)可以介于约1,000sccm至约27,000sccm的流量流至处理腔室中。
在另一实施例中,方块240中的硅烷化工艺与方块250中的UV固化可同时进行。在此情形中,UV单元与硅烷化工艺同时开启/关闭。在另一实施例中,方块250中的UV固化可以是在方块240中的硅烷化工艺之前进行。在又一实施例中,方块240中的硅烷化工艺与方块250中的UV固化可交替地进行。举例而言,可进行UV固化以移除表面/侧壁的一些水分。接着进行硅烷化以恢复表面疏水性。然后进行UV固化来进一步恢复低k薄膜损害。在此情形中,硅烷化与UV固化可分别进行约15至约30秒。可推知硅烷化与UV固化工艺的硅烷化作用剂流量、时间、UV功率、基板温度、腔室压力可根据应用而加以变化。如有需要,UV固化可于与硅烷化工艺的处理腔室不同的单独处理腔室中进行。
在方法200中可进行各种清洗气体和排空工艺。举例而言,在将低k介电质薄膜置入处理腔室之后、在方块240的硅烷化工艺之前排空处理腔室会是有利的。可藉由真空泵的使用来排空处理腔室。
在进行方法200之后,从处理腔室移出基板(该基板上配置有低k介电质薄膜),并使该基板暴露于润洗溶剂/溶液,然后接以任选的干燥工艺。
图3是例示处理腔室的截面图,该处理腔室可用以实施本文所述的实施例。图3是基于应用材料公司目前制造的腔室的特征。PRODUCER CVD腔室(200mm或300mm)具有两个隔离的处理区域,该等区域可用以沉积碳掺杂的硅氧化物与其它材料。
图3说明串接处理腔室300,该串接处理腔室300被配置以进行UV固化。串接处理腔室300包括本体301以及可铰接至该本体301的罩盖303。耦接至该罩盖303的是两个外壳305,该两个外壳305各耦接至入流口与出流口,以使冷却空气流通于外壳305的内部。冷却空气可为室温或大致为摄氏22度。中央加压空气源(未图示)提供了充分的空气流量至入流口,以确保任何UV灯灯泡及/或与该串接处理腔室300相关联的灯泡的功率源313的适当运作。
图3说明了串接处理腔室300的部分截面图,该串接处理腔室300具有罩盖303、外壳305以及配置用于UV固化的功率源313。每一个外壳305覆盖两个UV灯灯泡302中的相应UV灯灯泡302,该两个UV灯灯泡302分别配置在本体301内所限定的两个处理区域320上方。各处理区域320包括加热座306,用于在处理区域302内支撑基板308。加热座306可由陶瓷或金属(例如铝)制成。较佳为,加热座306耦接至柄部310,柄部310延伸通过本体301的底部、并由驱动系统312运作以于处理区域320中使加热座306朝向及远离该UV灯灯泡302而移动。驱动系统312也可使加热座306在固化期间旋转及/或平移,以进一步增进基板照射的均匀性。除了根据光传送系统设计考虑的本质(例如焦距)来进行基板308上的入射UV辐照等级的可能精密调整以外,加热座306的可调整定位使得能控制挥发性固化副产物以及清洗与清洁气体流动样式和滞留时间。
一般而言,本发明的实施例考虑了任何UV源,例如汞微波弧灯、脉冲式氙闪光灯或高效率UV发光二极管阵列。UV灯灯泡302为密封的等离子体灯泡,其中填有一或多种气体,例如氙(Xe)或汞(Hg),以由功率源313激发。较佳为,功率源313为微波产生器,该微波产生器可包括一或多个磁电管(未图示)与用以对磁电管的灯丝供给能量的一或多个变压器(未图示)。在具有千瓦微波(MW)功率源的实施例中,每一个外壳305包括与功率源313相邻的孔口315,以自功率源313接收高达6000瓦的微波功率,随后自每一灯泡302产生高达约100瓦的UV光。在另一实施例中,UV灯灯泡302中可包括电极或灯丝,使得功率源313呈现为供应至电极的电路及/或电流,例如直流(DC)或脉冲式DC。
某些实施例的功率源313可包括射频(RF)能量源,该等能量源可激发在UV灯灯泡302内的气体。灯泡中的RF激发型态可为电容式或电感式。电感式耦接等离子体(ICP)灯泡可用以藉由产生比电容式耦接放电更致密的等离子体而有效增加灯泡辉度。此外,ICP灯可消除因电极衰减所致的UV输出衰减,因而产生用于增进系统生产率的较长寿命的灯泡。以RF能量源作为功率源313的优点包括效率的增加。
较佳为,灯泡302发出在170nm至400nm的宽波长带间的光。被选择以用于灯泡302内的气体会决定发射波长。由于在氧存在时,较短波长倾向于产生臭氧,因此可调整灯泡302所发出的UV光,以主要大量产生200nm以上的宽带UV光,以于固化工艺中避免臭氧产生。
UV灯灯泡302所发出的UV光藉由通过配置在罩盖303的孔口中的窗部314而进入处理区域320。窗部314较佳是由不含OH的合成石英玻璃所制成,且窗部314具有足以保持真空而不破裂的厚度。此外,窗部314较佳为熔化的二氧化硅,该熔化的二氧化硅可传送低至约150nm的UV光。因为罩盖303对本体301密封且窗部314对罩盖303密封,处理区域320可提供可维持大约1托耳至大约650托耳的压力的空间。处理或清洁气体317经由两个入流口通道316中的相应入流口信道316进入处理区域320。处理或清洁气体317接着经由共同出流口318而离开处理区域320。此外,供应至外壳305的内部的冷却空气循环通过灯泡302,但藉由窗部314而与处理区域320隔离。
实例
藉由下述实例来进一步说明本文所述的实施例的目的与优势。在这些实例中所记载的特定材料与该等材料的数量以及其它条件和细节皆不应用以限制本文所述的实施例。
关于样品1与样品2,晶圆系于不破坏真空下传送于处理腔室之间。就样品1和样品2中所进行的修复工艺而言,有两道工艺步骤。关于第一道工艺,UV并未被施用。UV是在第二道工艺中被施用。虽然样品1与样品2系于个别腔室中进行,但也可使用单一腔室同时进行化学与UV暴露。
样品1
低k介电质薄膜(Black Diamond IITM)被浸泡于蚀刻剂溶液(氢氟酸:水为1:100)中达1分钟,以于该低k介电质薄膜中产生损伤。受损的低k介电质薄膜经去离子(DI)水润洗以移除过剩的氢氟酸,并进行干燥。受损的低k介电质薄膜被置放于PRODUCER CVD处理腔室中。该低k介电质薄膜被加热至大约385℃。处理腔室中的压力调整为大约6托耳。使二甲基胺基三甲基硅烷(DMATMS)以及氦载气流至处理腔室中。DMATMS与氦载气的流量分别约为1,000mgm与2,000sccm。气相的硅烷化的处理时间大约为3分钟。
在硅烷化工艺之后,低k介电质薄膜被传送至第二处理腔室进行UV暴露。低k介电质薄膜被加热至约385℃。处理腔室中的压力调整为大约6托耳。使氦气和氩气流入处理腔室中。氦气与氩气的流量分别为大约16,000sccm与16,000sccm。以大约95%的UV输出和介于100nm与400nm之间的UV波长的UV暴露时间约为30秒。
样品2:
低k介电质薄膜(Black Diamond IITM)被浸泡于蚀刻剂溶液(氢氟酸:水为1:100或稀释的HF(DHF))中达5分钟,以于该低k介电质薄膜中产生损伤。受损的低k介电质薄膜经DI水润洗以移除过剩的氢氟酸,并进行干燥。受损的低k介电质薄膜被置放于PRODUCER CVD处理腔室中。该低k介电质薄膜被加热至大约385℃。处理腔室中的压力调整为大约6托耳。使二甲基胺基三甲基硅烷(DMATMS)以及氦载气流至处理腔室中。DMATMS与氦载气的流量分别约为1,000mgm与2,000sccm。气相的硅烷化的处理时间大约为3分钟。
在硅烷化工艺之后,低k介电质薄膜被传送至第二处理腔室进行UV暴露。低k介电质薄膜被加热至约385℃。处理腔室中的压力调整为大约6托耳。使氦气和氩气流入处理腔室中。氦气与氩气的流量分别为大约16,000sccm与16,000sccm。以大约95%的UV输出和介于100nm与400nm之间的UV波长的UV暴露时间约为30秒。
样品3:
低k介电质薄膜(Black Diamond IITM)被浸泡于蚀刻剂溶液(氢氟酸:水为1:100或稀释的HF(DHF))中达10分钟,以于该低k介电质薄膜中产生损伤。受损的低k介电质薄膜经DI水润洗以移除过剩的氢氟酸,并进行干燥。受损的低k介电质薄膜被置放于PRODUCER CVD处理腔室中。该低k介电质薄膜被加热至大约385℃。处理腔室中的压力调整为大约6托耳。使二甲基胺基三甲基硅烷(DMATMS)以及氦载气流至处理腔室中。DMATMS与氦载气的流量分别约为1,000mgm与2,000sccm。气相的硅烷化的处理时间大约为3分钟。
样品3并未对UV暴露,因为薄膜在暴露于蚀刻剂溶液期间已毁坏。
结果:
表1。
如表1所载,在HF暴露之后,样品2(5分钟的DHF暴露)具有比样品1(1分钟的DHF暴露)更高的k值。因此DHF暴露时间影响DHF后的k值。不受理论所限,相信样品2的对DHF的增加的暴露时间会产生较多的损伤(例如Si-OH)。由于在样品2中增加的损伤,样品2在硅烷化之后具有较低的k值。
对损伤后与修复后的薄膜进行单光束测量。从另一单光束光谱减去一单光束光谱产生频谱差异,该频谱差异显示了在各个波数下的强度增益与损失。FTIR差异光谱(修复的减掉损伤的)的比较证明了样品2在硅烷化期间具有较大的碳与Si-O-Si的增加量以及较大的Si-OH的减少量。这些结果全都是在DHF暴露之后具有较多SiOH的结果(SiOH+DMATMS→Si-O-Si-Me3+DMA)。FTIR分析是反应数量的测量,而较多的Si-OH代表有较多的反应。二甲基胺(DMA)是DMATMS与Si-OH反应的副产物。
利用本文所述的某些实施例,低k介电质薄膜的介电常数从具有k值为2.54的低k介电质薄膜降低成具有k值为2.24的低k介电质薄膜。为了降低低k薄膜的介电常数,我们必须破坏较大的范围(在DHF暴露与对硅烷化作用剂暴露之间的中间k值必须更高);因此,DHF工艺控制了结果。然而,若DHF暴露时间过长,则低k介电质薄膜会被毁坏,如样品3所示,样品3暴露达10分钟的时间。除时间以外,例如温度和浓度等其它因素将会支配工艺是否可行以及最终薄膜的介电常数有多低。
前述内容关于本发明的实施例,然可变化出本发明的其它与进一步实施例而不背离本发明的基本范围,本发明的范围是由下述权利要求所决定。

Claims (15)

1.一种用于降低低介电常数(k)的含硅介电质薄膜的介电常数的方法,所述方法包括以下步骤:
使低k的含硅介电质薄膜暴露于氢氟酸溶液;及接着
使所述低k的含硅介电质薄膜暴露于硅烷化作用剂。
2.如权利要求1所述的方法,其中相比于暴露至所述氢氟酸溶液之前的所述低k的含硅介电质薄膜的介电质常数(k),所述低k的含硅介电质薄膜在暴露至所述硅烷化作用剂之后具有更低的介电质常数(k)。
3.如权利要求1所述的方法,其中所述低k的含硅介电质薄膜是以硅为基础的介电质材料,所述以硅为基础的介电质材料具有3或更低的初始介电常数。
4.如权利要求3所述的方法,其中所述低k的含硅介电质薄膜是含碳与氢的硅氧化物。
5.如权利要求1所述的方法,其中在使所述低k的含硅介电质薄膜暴露于氢氟酸溶液之前,使所述低k的含硅介电质薄膜暴露于整合工艺,所述整合工艺选自:平坦化工艺、蚀刻工艺、扩散阻障层沉积工艺、金属层沉积工艺及前述工艺的组合。
6.如权利要求1所述的方法,其中所述氢氟酸溶液使所述低k的含硅介电质薄膜的Si-O-Si键结网络的一部分断裂,以形成Si-OH的官能基。
7.如权利要求6所述的方法,其中所述硅烷化作用剂与所述低k的含硅介电质薄膜中的Si-OH的官能基反应,以增加所述低k的含硅介电质薄膜中的碳浓度。
8.如权利要求1所述的方法,更包括使该所述k的含硅介电质薄膜暴露于紫外线固化工艺。
9.如权利要求8所述的方法,其中使所述低k的含硅介电质薄膜暴露于紫外线固化工艺的步骤是在使所述低k的含硅介电质薄膜暴露于硅烷化作用剂之前进行,与使所述低k的含硅介电质薄膜暴露于硅烷化作用剂同时进行,在使所述低k的含硅介电质薄膜暴露于硅烷化作用剂之后进行,或前述各者的组合。
10.如权利要求1所述的方法,其中所述硅烷化作用剂为气相,并且是选自由六甲基二硅氮烷(HMDS)、四甲基二硅氮烷(TMDS)、三甲基氯硅烷(TMCS)、二甲基二氯硅烷(DMDCS)、甲基三氯硅烷(MTCS)、三甲基甲氧基硅烷(TMMS)(CH3-O-Si-(CH3)3)、二甲基二甲氧基硅烷(DMDMS)((CH3)2-Si-(OCH3)2)、甲基三甲氧基硅烷(MTMS)((CH3-O)3-Si-CH3)、苯基三甲氧基硅烷(PTMOS)(C6H5-Si-(OCH3)3)、苯基二甲基氯硅烷(PDMCS)(C6H5-Si(Cl)-(CH3)2)、二甲基胺基三甲基硅烷(DMATMS)((CH3)2-N-Si-(CH3)3)、双(二甲基胺基)二甲基硅烷(BDMADMS)及前述材料的组合所组成的群组中。
11.如权利要求10所述的方法,其中所述硅烷化作用剂为DMATMS。
12.一种用于降低低介电常数(k)的含硅介电质薄膜的介电常数的方法,所述方法包括以下步骤:
使低k的含硅介电质薄膜暴露于氢氟酸溶液;
使所述低k的含硅介电质薄膜暴露于气化的硅烷化作用剂;以及
使所述低k的含硅介电质薄膜暴露于紫外线(UV)固化工艺。
13.如权利要求12所述的方法,其中使低k的含硅介电质薄膜暴露于气化的硅烷化作用剂的步骤以及使低k的含硅介电质薄膜暴露于紫外线固化工艺的步骤在相同的处理腔室中进行。
14.如权利要求12所述的方法,其中所述UV固化工艺在介于约摄氏100度至约摄氏800度的UV固化温度下进行。
15.如权利要求14所述的方法,进一步包括使用由远程等离子体源形成的等离子体来模拟UV辐射波长。
CN201380036771.XA 2012-07-13 2013-06-18 降低多孔低k膜的介电常数的方法 Pending CN104471687A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201261671191P 2012-07-13 2012-07-13
US61/671,191 2012-07-13
PCT/US2013/046285 WO2014011364A1 (en) 2012-07-13 2013-06-18 Method to reduce dielectric constant of a porous low-k film

Publications (1)

Publication Number Publication Date
CN104471687A true CN104471687A (zh) 2015-03-25

Family

ID=49914335

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201380036771.XA Pending CN104471687A (zh) 2012-07-13 2013-06-18 降低多孔低k膜的介电常数的方法

Country Status (6)

Country Link
US (1) US8993444B2 (zh)
JP (1) JP2015529011A (zh)
KR (1) KR102109482B1 (zh)
CN (1) CN104471687A (zh)
TW (1) TWI581331B (zh)
WO (1) WO2014011364A1 (zh)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8911553B2 (en) * 2010-10-19 2014-12-16 Applied Materials, Inc. Quartz showerhead for nanocure UV chamber
US9318364B2 (en) 2014-01-13 2016-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device metallization systems and methods
US9362107B2 (en) * 2014-09-30 2016-06-07 Applied Materials, Inc. Flowable low-k dielectric gapfill treatment
US10438806B2 (en) * 2017-04-27 2019-10-08 Tokyo Electron Limited Methods and system of using organosilicates as patterning films
US11469100B2 (en) 2019-05-30 2022-10-11 Applied Materials, Inc. Methods of post treating dielectric films with microwave radiation
WO2021216260A1 (en) 2020-04-20 2021-10-28 Applied Materials, Inc. Multi-thermal cvd chambers with shared gas delivery and exhaust system

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6593251B2 (en) * 2000-07-10 2003-07-15 Interuniversitair Microelektronica Centrum (Imec) Method to produce a porous oxygen-silicon layer
CN1950932A (zh) * 2004-05-03 2007-04-18 国际商业机器公司 用于制造在制成的半导体器件和电子器件内用作层内或层间电介质的超低介电常数材料的改进方法
US20090061633A1 (en) * 2007-08-31 2009-03-05 Fujitsu Limited Method of manufacturing semiconductor device
US20110237080A1 (en) * 2010-03-29 2011-09-29 Tokyo Electron Limited Method for integrating low-k dielectrics
US20120270339A1 (en) * 2011-04-25 2012-10-25 Applied Materials, Inc. Uv assisted silylation for recovery and pore sealing of damaged low k films

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000340651A (ja) 1999-05-28 2000-12-08 Hitachi Chem Co Ltd 低誘電率膜の製造法
US6475930B1 (en) * 2000-01-31 2002-11-05 Motorola, Inc. UV cure process and tool for low k film formation
US6348407B1 (en) 2001-03-15 2002-02-19 Chartered Semiconductor Manufacturing Inc. Method to improve adhesion of organic dielectrics in dual damascene interconnects
US7541200B1 (en) * 2002-01-24 2009-06-02 Novellus Systems, Inc. Treatment of low k films with a silylating agent for damage repair
US7709371B2 (en) * 2003-01-25 2010-05-04 Honeywell International Inc. Repairing damage to low-k dielectric materials using silylating agents
JP5057647B2 (ja) * 2004-07-02 2012-10-24 東京エレクトロン株式会社 半導体装置の製造方法および半導体装置の製造装置
EP1632956A1 (en) 2004-09-07 2006-03-08 Rohm and Haas Electronic Materials, L.L.C. Compositions comprising an organic polysilica and an arylgroup-capped polyol, and methods for preparing porous organic polysilica films
US7678682B2 (en) * 2004-11-12 2010-03-16 Axcelis Technologies, Inc. Ultraviolet assisted pore sealing of porous low k dielectric films
CN1787186A (zh) * 2004-12-09 2006-06-14 富士通株式会社 半导体器件制造方法
US20060251827A1 (en) 2005-05-09 2006-11-09 Applied Materials, Inc. Tandem uv chamber for curing dielectric materials
US7482281B2 (en) 2005-09-29 2009-01-27 Tokyo Electron Limited Substrate processing method
US8465991B2 (en) 2006-10-30 2013-06-18 Novellus Systems, Inc. Carbon containing low-k dielectric constant recovery using UV treatment
US7500397B2 (en) * 2007-02-15 2009-03-10 Air Products And Chemicals, Inc. Activated chemical process for enhancing material properties of dielectric films
JP5304033B2 (ja) * 2007-08-31 2013-10-02 富士通株式会社 半導体装置の製造方法
US20100065758A1 (en) 2008-09-16 2010-03-18 Tokyo Electron Limited Dielectric material treatment system and method of operating
US20100087062A1 (en) 2008-10-06 2010-04-08 Applied Materials, Inc. High temperature bd development for memory applications
JP2010287655A (ja) * 2009-06-10 2010-12-24 Toshiba Corp 半導体装置の製造方法
US20120258259A1 (en) 2011-04-08 2012-10-11 Amit Bansal Apparatus and method for uv treatment, chemical treatment, and deposition
US8216861B1 (en) 2011-06-28 2012-07-10 Applied Materials, Inc. Dielectric recovery of plasma damaged low-k films by UV-assisted photochemical deposition

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6593251B2 (en) * 2000-07-10 2003-07-15 Interuniversitair Microelektronica Centrum (Imec) Method to produce a porous oxygen-silicon layer
CN1950932A (zh) * 2004-05-03 2007-04-18 国际商业机器公司 用于制造在制成的半导体器件和电子器件内用作层内或层间电介质的超低介电常数材料的改进方法
US20090061633A1 (en) * 2007-08-31 2009-03-05 Fujitsu Limited Method of manufacturing semiconductor device
US20110237080A1 (en) * 2010-03-29 2011-09-29 Tokyo Electron Limited Method for integrating low-k dielectrics
US20120270339A1 (en) * 2011-04-25 2012-10-25 Applied Materials, Inc. Uv assisted silylation for recovery and pore sealing of damaged low k films

Also Published As

Publication number Publication date
JP2015529011A (ja) 2015-10-01
KR20150035509A (ko) 2015-04-06
WO2014011364A1 (en) 2014-01-16
TWI581331B (zh) 2017-05-01
TW201405658A (zh) 2014-02-01
US20140017895A1 (en) 2014-01-16
US8993444B2 (en) 2015-03-31
KR102109482B1 (ko) 2020-05-12

Similar Documents

Publication Publication Date Title
JP6422536B2 (ja) 気相化学曝露による低誘電率誘電体の損傷修復
KR100672066B1 (ko) 전자기 방사를 이용하는 반도체들의 표면 변경
US7851232B2 (en) UV treatment for carbon-containing low-k dielectric repair in semiconductor processing
CN104471687A (zh) 降低多孔低k膜的介电常数的方法
US6417080B1 (en) Method of processing residue of ion implanted photoresist, and method of producing semiconductor device
KR20190141786A (ko) 고품질 갭 충전 솔루션들을 위한 순환식 유동성 증착 및 고-밀도 플라즈마 처리 프로세스들
JP2002502108A5 (zh)
CN103430291A (zh) 用于恢复及封孔受损的低介电常数薄膜的紫外线辅助硅烷化
US8288252B2 (en) Method for recovering damaged components in lower region of low dielectric insulating film
JP2011526078A (ja) 薄いバリア層を用いた多孔性誘電体への溶媒および溶液の侵入の阻止および低減
JP4716370B2 (ja) 低誘電率膜のダメージ修復方法及び半導体製造装置
US20240120193A1 (en) Carbon replenishment of silicon-containing material
JP2010118402A (ja) 半導体ゲート絶縁膜の形成方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
EXSB Decision made by sipo to initiate substantive examination
SE01 Entry into force of request for substantive examination
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20150325

WD01 Invention patent application deemed withdrawn after publication