JP2015529011A - 多孔性低誘電率膜の誘電率を低減させる方法 - Google Patents

多孔性低誘電率膜の誘電率を低減させる方法 Download PDF

Info

Publication number
JP2015529011A
JP2015529011A JP2015521627A JP2015521627A JP2015529011A JP 2015529011 A JP2015529011 A JP 2015529011A JP 2015521627 A JP2015521627 A JP 2015521627A JP 2015521627 A JP2015521627 A JP 2015521627A JP 2015529011 A JP2015529011 A JP 2015529011A
Authority
JP
Japan
Prior art keywords
dielectric constant
dielectric film
low dielectric
silicon
exposing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2015521627A
Other languages
English (en)
Other versions
JP2015529011A5 (ja
Inventor
ケルヴィン チャン,
ケルヴィン チャン,
チン シュイ,
チン シュイ,
カン サブ イム,
カン サブ イム,
アレクサンドロス ティー. デモス,
アレクサンドロス ティー. デモス,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2015529011A publication Critical patent/JP2015529011A/ja
Publication of JP2015529011A5 publication Critical patent/JP2015529011A5/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76825Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/1042Formation and after-treatment of dielectrics the dielectric comprising air gaps
    • H01L2221/1047Formation and after-treatment of dielectrics the dielectric comprising air gaps the air gaps being formed by pores in the dielectric

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本発明の実施形態は、概して、半導体製造で使用する低誘電率誘電膜の誘電率を低下させる方法に関する。一実施形態で、シリコン含有誘電膜の誘電率(k)を低下させる方法は、多孔性低誘電率シリコン含有誘電膜をフッ化水素酸溶液に曝露すること、その後、低誘電率シリコン含有誘電膜をシリル化剤に曝露することを含む。シリル化剤は多孔性低誘電率誘電膜内のSi−OH官能基と反応し、低誘電率誘電膜内の炭素濃度を増大させる。【選択図】図2

Description

本発明の実施形態は、概して、半導体製造で使用する低誘電率誘電膜の誘電率を低下させる方法に関する。
半導体製造における誘電膜の誘電率(k)は、デバイスのスケーリングが続くにつれて継続的に減少している。低誘電率(low−k)膜への集積化損傷を最小限に抑えることは、特徴サイズの継続的な減少を可能にするために重要である。しかしながら、特徴サイズが縮小するにつれ、抵抗容量及び誘電膜の信頼性向上が深刻な課題となる。
例えば、炭素がドープされた酸化物(CDO)などを含む多孔性低誘電率誘電膜は、限定しないが例えば、研磨、エッチング、アッシング、及び洗浄などの集積化ステップに曝露される(exposed)ときに、その結合構造に損傷を受ける。高いk値を有する誘電膜ほど、後続する積層化ステップに対する耐性が高まるが、特徴サイズが縮小するにつれ、最終的な膜においては典型的にはより低いk値が望ましい。例えば、ダマシンプロセスで、パターン加工された低誘電率誘電膜が典型的には銅で充填され、次いで、化学的平坦化(CMP)プロセスによって銅膜が平坦化される。より高いk値を有する誘電膜であるほど、機械的には堅牢であり大きな損傷なくCMPプロセスに耐えることができる。一方、低い誘電率を有する誘電膜ほど機械的には堅牢でなく、CMPプロセスによってかなり損傷を受けるであろう。
したがって、効率を高め且つデバイスをより小さくできるよう、誘電膜のk値を低下させる方法が必要とされる。
本発明の実施形態は、概して、半導体製造で使用する低誘電率誘電膜の誘電率を低下させる方法に関する。一実施形態で、シリコン含有誘電膜の誘電率(k)を低下させる方法は、多孔性低誘電率シリコン含有誘電膜をフッ化水素酸溶液に曝露すること、その後、低誘電率シリコン含有誘電膜をシリル化剤に曝露することを含む。
別の実施形態では、低誘電率シリコン含有誘電膜の誘電率(k)を低下させる方法が提供される。方法は、多孔性低誘電率シリコン含有誘電膜をフッ化水素酸溶液に曝露すること、低誘電率シリコン含有誘電膜を気化されたシリル化剤に曝露すること、及び、低誘電率誘電膜を紫外線(UV)硬化プロセスに曝露することを含む。
本発明の上述のような特徴が詳細に理解されるように、上記で簡単に概説した本発明のより具体的な記載が、実施形態を参照することによって得られ、これら実施形態の幾つかは添付の図面で示されている。しかしながら、本発明は他の等しく有効な他の実施形態も許容し得るため、添付の図面は本発明の典型的な実施形態のみを示しており、したがって本発明の範囲を限定すると見なすべきでないことに留意されたい。
本明細書に記載の実施形態による、あるプロセス段階中の誘電膜を示す。 本明細書に記載の実施形態による、別のプロセス段階中の誘電膜を示す。 本明細書に記載の実施形態による、さらなるプロセス段階中の誘電膜を示す。 本明細書に記載の実施形態による、さらなるプロセス段階中の誘電膜を示す。 本明細書に記載の実施形態による、低誘電率誘電膜のk値を低下させるための一方法を示すプロセスフロー図である。 本明細書に記載の実施形態を実施するために使用され得る、例示的なプロセスチャンバの断面図である。
理解を容易にするため、可能な限り、複数の図に共通する同一の要素を示すのに同一の参照番号を使用した。一実施形態の要素及び/又はステップは、さらなる記述がなくとも、他の実施形態に有益に組み込むことができるように企図される。
本発明の実施形態は、概して、半導体製造で使用される低誘電率誘電膜の誘電率を低下させるための方法に関する。VLSI/ULSIでは、低い誘電率を備えるバックエンド誘電体の使用が、より一層要求される。本明細書に記載の実施形態の潜在的な応用の1つは、高誘電率(すなわちより少ない炭素)を備える誘電膜が、特定の集積化ステップに耐え、次いで、増大した炭素濃度(すなわちより低いk値)を有するよう処理されるようにできることである。多孔性低誘電率誘電膜の炭素含有を精密に調節することが、もう1つの潜在的な応用である。
多孔性低誘電率誘電膜(例えばCDO)又は多孔性低誘電率誘電膜の層を包含する基板は、フッ化水素(HF)溶液に浸される。フッ化水素酸は多孔性低誘電率誘電膜と反応し、低誘電率誘電膜内に、HFに曝露する前の低誘電率誘電膜よりも高濃度のSi−OH官能基が得られる。次いで、基板はリンス溶媒/溶液でリンスされ、その後乾燥される。HFに曝露した後、次いで基板は、気相又は液相のシリル化剤に曝露される。シリル化剤は多孔性低誘電率誘電膜内のSi−OH官能基と反応し、低誘電率誘電膜内の炭素濃度を増大させる。基板は必要に応じてリンスされ乾燥され得る。基板は、シリル化剤とUV光との双方に同時に曝露されてもよい。基板は、シリル化剤に曝露された後にUV光に曝露されてもよい。増大した炭素濃度により、多孔性低誘電率誘電膜の誘電率は、HFに曝露する前よりも低くなる。本明細書における濃度は、体積あたりの分子数を意味する。HFに曝露するプロセスは、Si−OH官能基の量を制御するために時間指定されてもよい。この制御が、最終的な炭素濃度を、したがって結果として誘電率を決定づけることとなる。
図1Aは、構造体101上に堆積する誘電膜100を示す。構造体101は、例えばシリコンウエハなどの基板、又は、例えばメタライズ層もしくは相互接続層などの予め形成された層であり得る。低誘電率誘電膜100は、約3又はこれより低いk値を有する、任意の従来型の多孔性、低誘電率の、シリコンベースの誘電材料であり得る。例示的な低誘電率誘電膜は、例えば、SiO、SiOC、SiON、SiCOH、SiOCN、及び他の関連する膜を含む。一実施形態で、低誘電率誘電材料は、有機ケイ酸塩ガラス(SiCOHとしても知られるOSG)であり、これは炭素と水素原子とを包含する酸化ケイ素である。SiCOHは約2から3の間のk値を有し、カリフォルニア州サンタクララのApplied Materials社からBlack Diamond II(商標)で入手可能である。低誘電率誘電膜100は、膜に形成されたポア102を有し得る。ポアは、ナノポアであり得る。ナノポアは、約0.5nm〜約20nmの範囲内の直径を有し得る。低誘電率誘電膜は、化学気相堆積(PECVD)プロセス又は任意の他の適切な堆積技術により堆積され得る。低誘電率誘電膜100は、多孔性の炭素ドープ酸化物(CDO)膜であり得る。低誘電率誘電膜100は、処理前の誘電膜のk値を上回るk値を有し得る。
図1Bは、低誘電率誘電膜100内に特徴104を形成するために、平坦化されエッチングされた後の低誘電率誘電膜100を示す。低誘電率誘電膜100は、例えば化学機械平坦化(CMP)プロセスによって平坦化され得る。低誘電率誘電膜100は、低誘電率誘電膜100の部分をマスキングすること、低誘電率誘電膜100のマスキングされない部分をフッ化水素酸(HF)蒸気から形成されるプラズマでコンタクトすること、及び、例えば酸素(O)ガスもしくはCOガスからのプラズマを使用してマスクをアッシングで除去することによって、エッチングされ得る。本明細書に記載の実施形態を使用した処理ステップのうち任意のものを経ると、低誘電率誘電膜100のk値は低下し得る。
図1Cは、ディフュージョンバリア106が低誘電率誘電膜100の特徴104内に堆積された後の、及び、例えば銅もしくは銅合金などの金属材料107が特徴104内に堆積された後の、低誘電率誘電膜100を示す。図1Dに示すように、金属材料107を平坦化し、且つ平坦化中に形成された任意の酸化物を取り除くことが必要かもしれない。通常の金属酸化物除去技術は、水素プラズマ又はアンモニアプラズマの使用を含む。平坦化プロセス及び/又は金属酸化物除去プロセスは、低誘電率誘電膜100がより低いk値を有する場合には、低誘電率誘電膜100の表面を損傷し得る。結果として、様々な処理ステップが実施された後の誘電膜100のk値よりも高いk値を、処理前及び処理中に、低誘電率誘電膜100が有することが望ましくなる。本明細書に記載のk値低下プロセスを使用した上述の処理ステップのうち任意のものを経た後、低誘電率誘電膜100のk値は低下し得る。
図2は、本明細書に記載の実施形態による、低誘電率誘電膜のk値を低下させるための一方法200を示すプロセスフロー図である。ブロック210で、低誘電率誘電膜を堆積した基板が、処理チャンバ内に置かれる。この基板及び低誘電率誘電膜は、図1A〜1Dに示す低誘電率誘電膜100及び構造体101と同様であり得る。低誘電率誘電膜は、典型的には、方法200の実施後の膜の最終的なk値よりも高い初期k値を有する。処理チャンバは、図3に示す処理チャンバと同様であり得る。
ブロック220で、低誘電率誘電膜内にビア/トレンチなどの特徴を任意適切なドライエッチングもしくは湿式エッチングプロセスを使用して形成するために、基板は、任意選択的に、その場で処理されてもよく又は別個の処理チャンバで処理されてもよい。基板上に残された、エッチングプロセスからの任意のマスキング材料及び/又は残留物は、その場でもしくは専用のチャンバ内で、アッシングプロセスもしくは任意の他の適切な技術を使用して、剥離/除去され得る。特徴を形成するために使用され得る他の集積化プロセスは、平坦化プロセス、ディフュージョンバリア堆積プロセス、金属堆積プロセス、及びこれらの組み合わせを含む。
ブロック230で、低誘電率誘電膜はフッ化水素酸(HF)溶液に曝露される。フッ化水素酸溶液は、液相又は気相であり得る。フッ化水素酸溶液は、希フッ化水素(DHF)酸溶液であり得る。フッ化水素酸は、バッファされたバッファードフッ化水素酸(BHF)であってもよく、又はバッファされていなくてもよい。HFのバッファのための例示的なバッファ剤は、フッ化アンモニウム(NHF)を含む。フッ化水素酸溶液は、低誘電率誘電膜内のSi−O−Si結合網の一部分を破壊してSi−OH結合を形成するとされているので、フッ化水素酸溶液が選択される。低誘電率誘電膜内のSi−OH結合により、低誘電率誘電膜内に追加の炭素を挿入することが可能となり、結果として低誘電率誘電膜のk値が低減できる。希フッ化水素酸溶液の濃度及び低誘電率誘電膜を希HFに曝露する時間期間などの因子は、Si−O−Si網の破壊量に影響するであろう。
低誘電率誘電膜は、例えば30秒間〜800秒間などのある時間、希酸溶液に浸され得る。特定の実施形態では、希酸溶液は低誘電率誘電膜上にスプレーされ得る。任意選択的に、低誘電率誘電膜をフッ化水素酸溶液に曝露した後、基板表面を洗浄するために、例えば脱イオン水を使用した、曝露後リンス(post−exposure rinse)プロセスが使用され得る。任意選択的な洗浄プロセスの後、当技術分野で公知の乾燥プロセスを使用した任意選択的な乾燥プロセスが行われてもよい。
フッ化水素酸溶液は、フッ化水素酸(HF)を脱イオン水で希釈した溶液であり得る。フッ化水素酸溶液は、フッ化水素酸体積比約0.1%〜約100%であり得る。フッ化水素酸溶液は、フッ化水素酸体積比約1%〜約70%であり得る。フッ化水素酸溶液は、体積比約0.1%〜約5%、例えば体積比約0.5%〜約1%の濃度のフッ化水素酸を含み得る。フッ化水素酸への浸漬は、室温(例えば約20℃)で実施され得る。浸漬時間は、フッ化水素酸濃度、及び、所望のSi−O−Si結合破壊の量に応じて変動し得る。
ブロック240で、低誘電率誘電膜はシリル化剤に曝露される。一実施形態では、シリル化プロセスは、図3に関して説明される処理チャンバ300などのUVを基にした処理チャンバ内で実施され得る。シリル化プロセスは、ブロック220中に発生した低誘電率誘電膜に対する損傷のうちの少なくとも幾分かを復元又は修復するために、及び、低誘電率誘電膜内へ追加の炭素を挿入させるために、使用され得、結果として低誘電率誘電膜のk値がさらに低減される。多孔性低誘電率誘電膜100をシリル化剤に曝露することにより、誘電膜100内のSi−OH基が、例えばSi−O−Si(CH基などの疎水性基へと変換され得る。疎水性基は、誘電膜100の損傷したポア103から水を追い出すことを助ける。
低誘電率誘電膜100をシリル化剤に曝露することは、気相又は液相において行われ得る。気相シリル化プロセスは、上述のように低誘電率誘電膜100内にSi−O−Si(CH基を作製するために、低誘電率誘電膜100を気化されたシリル化剤にコンタクトさせることを含む。シリル化剤の気化により、シリル化剤が低誘電率誘電膜100内に深く浸透することができる。例示的なシリル化剤は、ヘキサメチルジシラザン(HMDS)、テトラメチルジシラザン(TMDS)、トリメチルクロロシラン(TMCS)、ジメチルジクロロシラン(DMDCS)、メチルトリクロロシラン(MTCS)、トリメチルメトキシシラン(TMMS)(CH3−O−Si−(CH)、ジメチルジメトキシシラン(DMDMS)((CH−Si−(OCH)、メチルトリメトキシシラン(MTMS)((CH−O)−Si−CH)、フェニルトリメトキシシラン(PTMOS)(C−Si−(OCH)、フェニルジメチルクロロシラン(PDMCS)(C6H5−Si(Cl)−(CH3)2)、ジメチルアミノトリメチルシラン(DMATMS)((CH3)2−N−Si−(CH)、ビス(ジメチルアミノ)ジメチルシラン(BDMADMS)、又は、Si、H、及びCを含有する他の化合物を含む。シリル化剤は、ガス又は気化された液体蒸気の形態をとり得る。
気相シリル化プロセスは、低誘電率誘電膜100を処理チャンバ内に置くこと、シリル化剤を気化すること、及び、気化されたシリル化剤を処理チャンバ内に流入させることによって遂行され得る。代替的に、シリル化剤は処理チャンバ内で気化されてもよい。シリル化剤は、処理チャンバの上部に配置されるシャワーヘッドを通って処理チャンバ内に導入され得る。シリル化剤が処理チャンバ内へと流入するのを援助するために、He、Ar、N、H、及びこれらの組み合わせなどのキャリアガスが使用され得る。さらに、例えば水などの触媒が気相シリル化プロセス中に付加され得る。気相シリル化プロセスは、約50mTorr〜約500Torrの、例えば約200mTorr〜約6Torrの処理チャンバ圧力で遂行され得る。シリル化プロセス中、誘電膜は、約100℃〜約400℃の、例えば、約200℃〜約390℃へ加熱され得る。シリル化剤の流量は、1sccmと10,000sccmとの間、例えば、約100sccm〜約2,000sccmであり得る。シリル化剤の流量は、400sccmと2,000sccmとの間であり得る。シリル化剤の流量は、1mgmと10,000mgmとの間、例えば、約100mgm〜約2,000mgmであり得る。シリル化剤の流量は、1,000sccmと2,000sccmとの間であり得る。任意選択的なキャリアガスの流量は、1sccmと10,000sccmとの間、例えば、約2,000sccm〜約3,000sccmであり得る。任意選択的なキャリアガスの流量は、400sccmと2,000sccmとの間であり得る。気相シリル化の処理時間は、約1分間〜約10分間であり得る。処理チャンバ内の圧力は、気相シリル化プロセス中に変動され得る。例えば、圧力は50Torrと500Torrとの間で変動され得る。
低誘電率誘電膜のうち損傷した膜を気化されたシリル化剤に曝露することにより、損傷した膜を炭素で補充し、且つ低誘電率誘電膜に追加の炭素を付加することができる。例えば、メチル又はフェニルを含有するシリル化剤は、低誘電率誘電膜内のSi−OH基と反応して、親水性のSi-OH基を疎水性のSi−O−Si結合(例えば、Si-O-Si(CH基又はSi-O-Si(CH-O−Si基)へと変換することができる。疎水性膜は親水性膜に比べ湿気を保持しにくく、処理される低誘電率誘電膜の属性に、湿気が影響を与えにくい。したがって、低誘電率誘電膜のk値は回復される(すなわち、減少する)。
ブロック250で、低誘電率誘電膜は任意選択的に、UV硬化プロセスに曝露される。低誘電率誘電膜は、ブロック240で実施された誘電率回復プロセスと同じ処理チャンバ内で、UV透過ガス分配シャワーヘッド及びUV透過ウィンドウ上に配置されたUVユニットからのUVエネルギーを使用して、処理され得る。ブロック250のUV硬化プロセスは、ブロック240のプロセスよりも前に、ブロック240のプロセスと同時に、ブロック240のプロセスに後続して、又は上述のシーケンスの任意の組み合わせで、実施され得る。UV硬化プロセスは、低誘電率誘電膜100を処理チャンバ内に置くこと、及び、低誘電率誘電膜100がUV照射とコンタクトするようにUV照射源を係合させることにより、遂行され得る。UV照射源は、例えばUVランプであり得る。UV照射源は処理チャンバの外側に配置されてもよく、処理チャンバは、UV照射が通過するための石英ウィンドウを有してもよい。低誘電率誘電膜100は、例えばHe又はArなどの不活性ガス環境内に配置され得る。処理チャンバは、低誘電率誘電膜100をUV照射に曝露する前又はそれと同時に低誘電率誘電膜100を加熱するための、マイクロ波源も含み得る。UV硬化プロセスは、UV照射の波長をシミュレートするプラズマを使用して遂行されてもよい。プラズマは、RF電力をHe、Ar、O、N、又はこれらの組み合わせなどの処理ガスと結合させることによって形成され得る。プラズマは、遠隔プラズマ源(RPS)によって形成されて処理チャンバに送達されてもよい。
UV硬化プロセスは、1Torr〜100Torrの間、例えば6Torrなどの処理チャンバ圧力、20℃〜400℃の間、例えば385℃などの誘電膜温度、8,000sccm〜24,000sccmの間、例えば16,000sccmなどの環境ガス流量、2,000sccm〜20,000sccmの間、例えば12,000sccmの処理ガス流量、50W〜1,000Wの間、例えば500WなどのRF電力、13.56MHzのRF電力周波数、10秒〜180秒の間、例えば60秒の処理時間、100W/m〜2,000W/mの間、例えば1,500W/mなどのUV照射強度、及び、100nm〜400nmの間のUV波長で、遂行され得る。上述のUV硬化プロセスは、特徴104の側壁における損傷したポア103を有利に修復する。
一実施形態で、UV硬化温度は、約100℃〜約800℃の間、例えば約400℃であり得る。UV硬化時間は、約10秒間〜約600秒間であり得る。UV硬化ガスは、UV透過ガス分配シャワーヘッドを通って処理チャンバへと流入し得る。一実施形態で、ヘリウム及びアルゴンなどの不活性硬化ガスは、約1,000sccm〜約27,000sccmの流量で処理チャンバへ流入し得る。
別の実施形態では、ブロック240のシリル化プロセス及びブロック250のUV硬化は、同時に実行されることができる。そのような場合、UVユニットはシリル化プロセスと同時にオン/オフされる。さらに別の実施形態で、ブロック250のUV硬化はブロック240のシリル化プロセスよりも前に実施され得る。さらに別の実施形態では、ブロック240のシリル化プロセス及びブロック250のUV硬化は、交互に実行されることができる。例えば、UV硬化は、表面/側壁から幾らかの水を除去するために実施され得る。次いで、表面の疎水性を回復するためにシリル化が実施される。次いで、低誘電率膜の損傷をさらに修復するためにUV硬化が実施される。そのような場合、シリル化及びUV硬化は、それぞれ、約15秒間〜約30秒間実施され得る。シリル化剤の流量、時間、UV出力、基板温度、シリル化及びUV硬化プロセスのチャンバ圧力は、応用に応じて変動することが意図され得る。所望であれば、UV硬化は、シリル化プロセスのための処理チャンバとは別個の処理チャンバ内で実施され得る。
様々なガスパージ及び排気プロセスが、方法200中で実施され得る。例えば、低誘電率誘電膜を処理チャンバ内へ挿入した後、ブロック240のシリル化プロセスの前に、チャンバを排気することが有益であるかもしれない。処理チャンバは真空ポンプを使用して排気され得る。
方法200の実施後、低誘電率誘電膜を堆積した基板は、処理チャンバから取り除かれ、リンス溶媒/溶液に曝露され、任意選択的に乾燥プロセスが後続する。
図3は、本明細書に記載の実施形態を実施するために使用され得る、例示的なプロセスチャンバの断面図である。図3は、Applied Materials,Incによって現在製造されているPRODUCER(登録商標)チャンバの特徴に基づく。PRODUCER CVDチャンバ(200mm又は300mm)は、炭素がドープされた酸化ケイ素及び他の材料を堆積させるために使用され得る、2つの分離された処理領域を有する。
図3は、UV硬化用に構成されるタンデム処理チャンバ300を示す。タンデム処理チャンバ300は、本体301と本体301にヒンジ留めされ得る蓋303とを含む。2つのハウジング305が蓋303に連結され、これらハウジングの各々は、ハウジング305の内部を経由して冷却用空気を通すため、吸入口と排出口とに連結される。冷却用空気は、室温又は摂氏約20度であることができる。中央の加圧空気源(図示せず)は、任意のUVランプバルブ及び/又はタンデム処理チャンバ300に関連付けられるバルブ用電源313の正確な動作を保証するために、十分な流量の空気を吸入口に供給する。
図3は、UV硬化用に構成され、蓋303、ハウジング305、及び電源313を備える、タンデム処理チャンバ300の部分断面図を示す。本体301内に画定される2つの処理領域320の上部にそれぞれ配置される、2つのUVランプバルブ302のそれぞれを、ハウジング305の各々が覆う。処理領域320の各々は、処理領域320内で基板308を支持するための加熱ペデスタル306を含む。ペデスタル306は、セラミック又はアルミニウムなどの金属で作製することができる。好ましくは、ペデスタル306は、本体の底部を通って伸びるステム310に連結され、ステム310は、ドライブシステム312によって動作され、ペデスタル306を処理領域320内でUVランプバルブの方に及びUVランプバルブから離れるように運動させる。ドライブシステム312はまた、基板照明の均一性を高めるために、硬化中にペデスタル306を回転及び/又は並進させることができる。ペデスタル306の調整可能な配置により、硬化における揮発性副生成物、パージ及び洗浄ガスの流量パターン、並びに、レジデンス時間の制御、さらには、焦点距離などの光送達システム設計における考慮事項の性質に応じた、基板308上の入射UVの照射レベルの潜在的な精密な調節の制御が可能となる。
本発明の実施形態は、概して、水銀マイクロ波アークランプ、パルスキセノンフラッシュランプ、又は高効率UVライト発光ダイオードアレイなどの任意のUV源を考慮している。UVランプバルブ302は、電源313によって励起されるためにキセノン(Xe)又は水銀(Hg)などの一又は複数のガスで充填され封入されたプラズマバルブである。好ましくは、電源313は、一又は複数のマグネトロンを含み得るマイクロ波発振器(図示せず)、及び、マグネトロンのフィラメントに通電する一又は複数のトランス(図示せず)である。キロワットマイクロ波(MW)電源を有する一実施形態で、ハウジング305の各々は、電源313から約6,000Wまでのマイクロ波電力を受けるための、電源313に隣接した開口315を含み、この電力を受けた後バルブ302の各々から約100WまでのUV光が生成される。別の実施形態で、UVランプバルブ302は内部に電極又はフィラメントを含むことができ、これにより、電源313が、電極に対し、直流(DC)又はパルスDCなどの回路及び/又は給電部となる。
幾つかの実施形態において、電源313は、UVランプバルブ302内のガスを励起することができる無線周波数(RF)エネルギー源を含み得る。バルブ内のRF励起の構成は、容量性であっても誘導性であってもよい。誘導結合プラズマ(ICP)バルブは、容量結合放電によるよりも高密度のプラズマを生成することによって、バルブの輝度を効率的に増大させるために使用されることができる。さらに、ICPランプは、電極の劣化に起因するUV出力の低下を解消し、結果としてバルブは長寿命となりシステムの生産性が向上する。電源313がRFエネルギー源であることにより、効率性の向上という利点も含まれる。
好ましくは、バルブ302は、170nm〜400nmの波長の広帯域に亘る光を発する。バルブ302内で使用されるために選択されるガスは、放射される波長を決定することができる。より短い波長は、酸素が存在する場合にオゾンを発生する傾向にあるので、バルブ302によって放射されるUV光は、大部分は200nmを上回る広帯域UV光を発生させるように調節されて、硬化プロセス中のオゾン発生を防止することができる。
UVランプバルブ302から放射されるUV光は、蓋303の開口に設けられたウィンドウ314を通過することによって、処理領域320に入る。ウィンドウ314は、好ましくは、OHを含まない合成石英ガラスから作製され、クラックすることなく真空を維持するよう十分な厚さを有する。さらに、ウィンドウ314は、好ましくは、約150nmまでのUV光を透過する溶融シリカである。蓋303が本体301を密閉し、ウィンドウ314は蓋303に密閉されるので、処理領域320は、約1Torr〜約650Torrの圧力を維持することのできる体積をもたらす。処理ガス又は洗浄ガス317は、2つの吸入口通路316のそれぞれを介して処理領域320に入る。処理ガス又は洗浄ガス317は、次いで、共通の排出口ポート318を経由して処理領域320を出る。さらに、ハウジング305の内部に供給される冷却用空気がバルブ302を通って循環するが、冷却用空気は、ウィンドウ314によって処理領域からは分離される。
本明細書に記載の実施形態の目的及び利点は、下記の実施例によりさらに説明される。これらの実施例に記載される、具体的な材料及びその量並びに他の条件及び詳細は、本明細書に記載の実施形態を限定するために使用されるべきでない。
サンプル1及びサンプル2に関し、ウエハは、真空を破壊することなく処理チャンバ間で移送された。サンプル1及びサンプル2で実施された修復プロセスでは、2つのプロセスステップが存在した。第1のプロセスではUVが加えられなかった。UVは第2のプロセスで加えられた。サンプル1及び2は別個のチャンバ内で実施されたが、化学的処理及びUV曝露を同時に行う単一のチャンバが使用されてもよい。
サンプル1:
Black Diamond II(商標)低誘電率誘電膜は、低誘電率誘電膜内に損傷を誘発するために、エッチャント溶液(フッ化水素酸:水は1:100)に1分間浸された。損傷した低誘電率誘電膜は、余分なフッ化水素酸を除去するために脱イオン水でリンスされ、乾燥された。損傷した低誘電率誘電膜は、PRODUCER CVD処理チャンバ内に配置された。低誘電率誘電膜は約385℃まで加熱された。処理チャンバ内の圧力は約6Torrに調整された。ジメチルアミノトリメチルシラン(DMATMS)と共にヘリウムキャリアガスが、処理チャンバ内に流入された。DMATMS及びヘリウムキャリアガスの流量は、それぞれ、約1,000mgm及び2,000sccmであった。気相シリル化の処理時間は約3分間であった。
このシリル化プロセスの後、低誘電率誘電膜は、UV曝露のため第2の処理チャンバに移送された。低誘電率誘電膜は約385℃まで加熱された。処理チャンバ内の圧力は約6Torrに調整された。ヘリウムガス及びアルゴンガスが処理チャンバ内に流入された。ヘリウムガス及びアルゴンガスの流量は、それぞれ、約16,000sccm及び16,000sccmであった。UV曝露時間は約30秒であり、UV出力は約95%であり、UV波長は約100nm〜約400nmであった。
サンプル2:
Black Diamond II(商標)低誘電率誘電膜は、低誘電率誘電膜内に損傷を誘発するために、エッチャント溶液(フッ化水素酸:水は1:100、又は希釈されたHFすなわちDHF)に5分間浸された。損傷した低誘電率誘電膜は、余分なフッ化水素酸を除去するために脱イオン水でリンスされ、乾燥された。損傷した低誘電率誘電膜は、PRODUCER CVD処理チャンバ内に配置された。低誘電率誘電膜は約385℃まで加熱された。処理チャンバ内の圧力は約6Torrに調整された。ジメチルアミノトリメチルシラン(DMATMS)と共にヘリウムキャリアガスが、処理チャンバ内に流入された。DMATMS及びヘリウムキャリアガスの流量は、それぞれ、約1,000mgm及び2,000sccmであった。気相シリル化の処理時間は約3分間であった。
このシリル化プロセスの後、低誘電率誘電膜は、UV曝露のため第2の処理チャンバに移送された。低誘電率誘電膜は約385℃まで加熱された。処理チャンバ内の圧力は約6Torrに調整された。ヘリウムガス及びアルゴンガスが処理チャンバ内に流入された。ヘリウムガス及びアルゴンガスの流量は、それぞれ、約16,000sccm及び16,000sccmであった。UV曝露時間は約30秒であり、UV出力は約95%であり、UV波長は約100nm〜約400nmであった。
サンプル3:
Black Diamond II(商標)低誘電率誘電膜は、低誘電率誘電膜内に損傷を誘発するために、エッチャント溶液(フッ化水素酸:水は1:100、又は希釈されたHFすなわちDHF)に10分間浸された。損傷した低誘電率誘電膜は、余分なフッ化水素酸を除去するために脱イオン水でリンスされ、乾燥された。損傷した低誘電率誘電膜は、PRODUCER CVD処理チャンバ内に配置された。低誘電率誘電膜は約385℃まで加熱された。処理チャンバ内の圧力は約6Torrに調整された。ジメチルアミノトリメチルシラン(DMATMS)と共にヘリウムキャリアガスが、処理チャンバ内に流入された。DMATMS及びヘリウムキャリアガスの流量は、それぞれ、約1,000mgm及び2,000sccmであった。気相シリル化の処理時間は約3分間であった。
サンプル3は、エッチャント溶液に曝露する間に膜が破損したので、UVに曝露されなかった。
結果:
Figure 2015529011
表1に示すように、サンプル2(DHFへの曝露5分間)は、HFへの曝露後、サンプル1(DHFへの曝露1分間)よりも高いk値を有した。したがって、DHFへの曝露時間は、DHF後のk値と同傾向にあった。理論的に限定するわけではないが、サンプル2のDHFへの曝露時間の増大により、より大きな損傷(例えば、Si−OH)が得られたと見なされる。サンプル2の増大した損傷により、サンプル2は、シリル化後により低い誘電率を有した。
損傷後及び修復後の膜に、シングルビーム測定が実施された。一方のシングルビームスペクトルを他方のシングルビームスペクトルから減算すると、様々な波数における強度の利得と損失を示す差分スペクトルが得られる。FTIR差分スペクトル(修復後マイナス損傷後)を比較すると、サンプル2では、シリル化中に炭素とSi−O−Siがより大きく増加しSi−OHが減少したことが示された。これら成果のすべては、DHFへの曝露後により多くのSiOHを有した結果である(SiOH+DMATMS→Si−O−Si−Me+DMA)。FTIR解析は反応数の測定であり、Si−OHの存在が多いほど反応も多いことを示す。ジメチルアミン(DMA)は、DMATMSのSi−OHとの反応の副生成物である。
本明細書に記載の特定の実施形態を使用して、低誘電率誘電膜の誘電率は、2.54のk値を有する低誘電率誘電膜から2.24のk値を有する低誘電率誘電膜にまで低減された。低誘電率膜の誘電率を低減させるためには、さらに損傷させなければならない(DHFへの曝露とシリル化剤への曝露との間の中間k値は、より高くなければならない)。したがって、DHFプロセスが成果を制御する。しかしながら、DHFへの曝露時間が長すぎる場合、10分間曝露されたサンプル3に示すように、低誘電率誘電膜は破損し得る。時間に加えて温度及び濃度などの他の因子も、プロセスが実行可能であるかどうか、及び、最終的な膜の誘電率がどれくらい低いかを決定づけるであろう。
上記は本発明の実施形態を対象とするが、本発明の基本的な範囲から逸脱することなく、本発明の他のさらなる実施形態を考案することもでき、本発明の範囲は、以下の特許請求の範囲によって決定される。

Claims (15)

  1. 低誘電率シリコン含有誘電膜の誘電率(k)を低下させる方法であって、
    低誘電率シリコン含有誘電膜をフッ化水素酸溶液に曝露すること、及び、次いで、
    前記低誘電率シリコン含有誘電膜をシリル化剤に曝露すること
    を含む、方法。
  2. 前記低誘電率シリコン含有誘電膜は、前記フッ化水素酸溶液に曝露する前の前記低誘電率シリコン含有誘電体の誘電率(k)と比較して、前記シリル化剤に曝露した後により低い誘電率(k)を有する、請求項1に記載の方法。
  3. 前記低誘電率シリコン含有誘電膜は、3又はそれを下回る初期誘電率を有する、シリコンベースの誘電材料である、請求項1に記載の方法。
  4. 前記低誘電率シリコン含有誘電膜は、炭素及び水素を含有する、酸化ケイ素である、請求項3に記載の方法。
  5. 前記低誘電率シリコン含有誘電膜は、前記低誘電率シリコン含有誘電膜をフッ化水素酸溶液に曝露することよりも前に、平坦化プロセス、エッチングプロセス、ディフュージョンバリア堆積プロセス、金属堆積プロセス、及びこれらの組み合わせから選択される、集積化プロセスに曝露される、請求項1に記載の方法。
  6. 前記フッ化水素酸溶液は、前記低誘電率シリコン含有誘電膜のSi−O−Si結合網の一部を破壊して、Si−OH官能基を形成する、請求項1に記載の方法。
  7. 前記シリル化剤は、前記低誘電率シリコン含有誘電膜内のSi−OH官能基と反応し、前記低誘電率シリコン含有誘電膜内の炭素濃度を増大させる、請求項6に記載の方法。
  8. 前記低誘電率シリコン含有誘電膜を、紫外線硬化プロセスに曝露することをさらに含む、請求項1に記載の方法。
  9. 前記低誘電率シリコン含有誘電膜を紫外線硬化プロセスに曝露することは、前記低誘電率シリコン含有誘電膜をシリル化剤に曝露することよりも前に、前記低誘電率シリコン含有誘電膜をシリル化剤に曝露することと同時に、前記低誘電率シリコン含有誘電膜をシリル化剤に曝露することに続いて、又はこれらの組み合わせで、実施される、請求項8に記載の方法。
  10. 前記シリル化剤は、気相にあり、ヘキサメチルジシラザン(HMDS)、テトラメチルジシラザン(TMDS)、トリメチルクロロシラン(TMCS)、ジメチルジクロロシラン(DMDCS)、メチルトリクロロシラン(MTCS)、トリメチルメトキシシラン(TMMS)(CH−O−Si−(CH)、ジメチルジメトキシシラン(DMDMS)((CH−Si−(OCH)、メチルトリメトキシシラン(MTMS)((CH−O)−Si−CH)、フェニルトリメトキシシラン(PTMOS)(C−Si−(OCH)、フェニルジメチルクロロシラン(PDMCS)(C−Si(Cl)−(CH)、ジメチルアミノトリメチルシラン(DMATMS)((CH−N−Si−(CH)、ビス(ジメチルアミノ)ジメチルシラン(BDMADMS)、及びこれらの組み合わせからなるグループから選択される、請求項1に記載の方法。
  11. 前記シリル化剤はDMATMSである、請求項10に記載の方法。
  12. 低誘電率シリコン含有誘電膜の誘電率(k)を低下させる方法であって、
    低誘電率シリコン含有誘電膜をフッ化水素酸溶液に曝露すること、
    前記低誘電率シリコン含有誘電膜を気化されたシリル化剤に曝露すること、及び、
    前記低誘電率シリコン含有誘電膜を、紫外線(UV)硬化プロセスに曝露することを含む、方法。
  13. 低誘電率シリコン含有誘電膜を、気化されたシリル化剤に曝露すること、及び、低誘電率シリコン含有誘電膜を、紫外線硬化プロセスに曝露することは、同じ処理チャンバ内で実施される、請求項12に記載の方法。
  14. 前記UV硬化プロセスは、摂氏約100度から摂氏約800度までのUV硬化温度で実施される、請求項12に記載の方法。
  15. UV照射波長をシミュレートするために、遠隔プラズマ源により形成されるプラズマを使用することをさらに含む、請求項14に記載の方法。
JP2015521627A 2012-07-13 2013-06-18 多孔性低誘電率膜の誘電率を低減させる方法 Pending JP2015529011A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201261671191P 2012-07-13 2012-07-13
US61/671,191 2012-07-13
PCT/US2013/046285 WO2014011364A1 (en) 2012-07-13 2013-06-18 Method to reduce dielectric constant of a porous low-k film

Publications (2)

Publication Number Publication Date
JP2015529011A true JP2015529011A (ja) 2015-10-01
JP2015529011A5 JP2015529011A5 (ja) 2016-08-04

Family

ID=49914335

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2015521627A Pending JP2015529011A (ja) 2012-07-13 2013-06-18 多孔性低誘電率膜の誘電率を低減させる方法

Country Status (6)

Country Link
US (1) US8993444B2 (ja)
JP (1) JP2015529011A (ja)
KR (1) KR102109482B1 (ja)
CN (1) CN104471687A (ja)
TW (1) TWI581331B (ja)
WO (1) WO2014011364A1 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN115190919A (zh) * 2020-04-20 2022-10-14 应用材料公司 具有共用的气体输送和排气系统的多个热cvd腔室
US12037701B2 (en) 2021-03-31 2024-07-16 Applied Materials, Inc. Multi-thermal CVD chambers with shared gas delivery and exhaust system

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5905476B2 (ja) * 2010-10-19 2016-04-20 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Nanocureuvチャンバ用の石英シャワーヘッド
US9318364B2 (en) 2014-01-13 2016-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device metallization systems and methods
US9362107B2 (en) * 2014-09-30 2016-06-07 Applied Materials, Inc. Flowable low-k dielectric gapfill treatment
KR102392447B1 (ko) * 2017-04-27 2022-04-28 도쿄엘렉트론가부시키가이샤 패터닝 필름으로서 유기실리케이트를 사용하는 방법 및 시스템
US11469100B2 (en) 2019-05-30 2022-10-11 Applied Materials, Inc. Methods of post treating dielectric films with microwave radiation

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000340651A (ja) * 1999-05-28 2000-12-08 Hitachi Chem Co Ltd 低誘電率膜の製造法
JP2002324838A (ja) * 2001-03-15 2002-11-08 Chartered Semiconductor Mfg Ltd デュアルダマシン相互接続における有機物誘電体の密着性を改良する方法
JP2006049798A (ja) * 2004-07-02 2006-02-16 Tokyo Electron Ltd 溝配線または接続孔を有する半導体装置の製造方法
JP2006077245A (ja) * 2004-09-07 2006-03-23 Rohm & Haas Electronic Materials Llc 多孔質物質およびその製造方法
JP2010287655A (ja) * 2009-06-10 2010-12-24 Toshiba Corp 半導体装置の製造方法
US20110117678A1 (en) * 2006-10-30 2011-05-19 Varadarajan Bhadri N Carbon containing low-k dielectric constant recovery using uv treatment

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6475930B1 (en) * 2000-01-31 2002-11-05 Motorola, Inc. UV cure process and tool for low k film formation
EP1172847A3 (en) * 2000-07-10 2004-07-28 Interuniversitair Micro-Elektronica Centrum Vzw A method to produce a porous oxygen-silicon layer
US7541200B1 (en) * 2002-01-24 2009-06-02 Novellus Systems, Inc. Treatment of low k films with a silylating agent for damage repair
US7709371B2 (en) * 2003-01-25 2010-05-04 Honeywell International Inc. Repairing damage to low-k dielectric materials using silylating agents
US7049247B2 (en) * 2004-05-03 2006-05-23 International Business Machines Corporation Method for fabricating an ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device made
US7678682B2 (en) * 2004-11-12 2010-03-16 Axcelis Technologies, Inc. Ultraviolet assisted pore sealing of porous low k dielectric films
CN1787186A (zh) * 2004-12-09 2006-06-14 富士通株式会社 半导体器件制造方法
US20060251827A1 (en) 2005-05-09 2006-11-09 Applied Materials, Inc. Tandem uv chamber for curing dielectric materials
US7482281B2 (en) 2005-09-29 2009-01-27 Tokyo Electron Limited Substrate processing method
US7500397B2 (en) * 2007-02-15 2009-03-10 Air Products And Chemicals, Inc. Activated chemical process for enhancing material properties of dielectric films
US20090061633A1 (en) 2007-08-31 2009-03-05 Fujitsu Limited Method of manufacturing semiconductor device
JP5304033B2 (ja) * 2007-08-31 2013-10-02 富士通株式会社 半導体装置の製造方法
US20100065758A1 (en) * 2008-09-16 2010-03-18 Tokyo Electron Limited Dielectric material treatment system and method of operating
US20100087062A1 (en) 2008-10-06 2010-04-08 Applied Materials, Inc. High temperature bd development for memory applications
US20110232677A1 (en) 2010-03-29 2011-09-29 Tokyo Electron Limited Method for cleaning low-k dielectrics
JP5976776B2 (ja) 2011-04-08 2016-08-24 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Uv処理、化学処理、および堆積のための装置および方法
US8492170B2 (en) * 2011-04-25 2013-07-23 Applied Materials, Inc. UV assisted silylation for recovery and pore sealing of damaged low K films
US8216861B1 (en) 2011-06-28 2012-07-10 Applied Materials, Inc. Dielectric recovery of plasma damaged low-k films by UV-assisted photochemical deposition

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000340651A (ja) * 1999-05-28 2000-12-08 Hitachi Chem Co Ltd 低誘電率膜の製造法
JP2002324838A (ja) * 2001-03-15 2002-11-08 Chartered Semiconductor Mfg Ltd デュアルダマシン相互接続における有機物誘電体の密着性を改良する方法
JP2006049798A (ja) * 2004-07-02 2006-02-16 Tokyo Electron Ltd 溝配線または接続孔を有する半導体装置の製造方法
JP2006077245A (ja) * 2004-09-07 2006-03-23 Rohm & Haas Electronic Materials Llc 多孔質物質およびその製造方法
US20110117678A1 (en) * 2006-10-30 2011-05-19 Varadarajan Bhadri N Carbon containing low-k dielectric constant recovery using uv treatment
JP2010287655A (ja) * 2009-06-10 2010-12-24 Toshiba Corp 半導体装置の製造方法

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN115190919A (zh) * 2020-04-20 2022-10-14 应用材料公司 具有共用的气体输送和排气系统的多个热cvd腔室
JP7462763B2 (ja) 2020-04-20 2024-04-05 アプライド マテリアルズ インコーポレイテッド 共有供給及び排気システムを備えたマルチ熱cvdチャンバ
US12037701B2 (en) 2021-03-31 2024-07-16 Applied Materials, Inc. Multi-thermal CVD chambers with shared gas delivery and exhaust system

Also Published As

Publication number Publication date
KR102109482B1 (ko) 2020-05-12
CN104471687A (zh) 2015-03-25
US20140017895A1 (en) 2014-01-16
WO2014011364A1 (en) 2014-01-16
TW201405658A (zh) 2014-02-01
US8993444B2 (en) 2015-03-31
TWI581331B (zh) 2017-05-01
KR20150035509A (ko) 2015-04-06

Similar Documents

Publication Publication Date Title
JP6422536B2 (ja) 気相化学曝露による低誘電率誘電体の損傷修復
US7851232B2 (en) UV treatment for carbon-containing low-k dielectric repair in semiconductor processing
JP5905476B2 (ja) Nanocureuvチャンバ用の石英シャワーヘッド
US8492170B2 (en) UV assisted silylation for recovery and pore sealing of damaged low K films
KR102109482B1 (ko) 다공성 저-k 막의 유전 상수를 감소시키기 위한 방법
US8702870B2 (en) Superimposition of rapid periodic and extensive post multiple substrate UV-ozone clean sequences for high throughput and stable substrate to substrate performance
US8657961B2 (en) Method for UV based silylation chamber clean
US20120208366A1 (en) Prevention and reduction of solvent and solution penetration into porous dielectrics using a thin barrier layer
US10373823B2 (en) Deployment of light energy within specific spectral bands in specific sequences for deposition, treatment and removal of materials
TWI387050B (zh) 低介電係數絕緣膜之損壞復原方法及半導體裝置之製造方法
JP2004363558A (ja) 半導体装置の製造方法およびプラズマエッチング装置のクリーニング方法
KR101631586B1 (ko) 기판 성능에 대한 높은 처리량 및 안정한 기판을 위한 급속 주기적 및 포괄적 후 다중 기판 uv-오존 세정 시퀀스들의 중첩
US11469100B2 (en) Methods of post treating dielectric films with microwave radiation
JP2012204693A (ja) 基板処理装置及び半導体装置の製造方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20160616

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20160616

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20170221

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170517

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20170926

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20171225

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20180226

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20180605