JP2002324838A - デュアルダマシン相互接続における有機物誘電体の密着性を改良する方法 - Google Patents

デュアルダマシン相互接続における有機物誘電体の密着性を改良する方法

Info

Publication number
JP2002324838A
JP2002324838A JP2002063783A JP2002063783A JP2002324838A JP 2002324838 A JP2002324838 A JP 2002324838A JP 2002063783 A JP2002063783 A JP 2002063783A JP 2002063783 A JP2002063783 A JP 2002063783A JP 2002324838 A JP2002324838 A JP 2002324838A
Authority
JP
Japan
Prior art keywords
layer
silicon
carbon
group
organic
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2002063783A
Other languages
English (en)
Inventor
Subhash Gupta
サブハシュ・ギュプタ
Yi Xu
スー・イ
Simon Chool
サイモン・チョーイ
Zhou Mei-Sheng
メイ−シェン・チョウ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Singapore Pte Ltd
Original Assignee
Chartered Semiconductor Manufacturing Pte Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Chartered Semiconductor Manufacturing Pte Ltd filed Critical Chartered Semiconductor Manufacturing Pte Ltd
Publication of JP2002324838A publication Critical patent/JP2002324838A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31058After-treatment of organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76825Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

(57)【要約】 【課題】 有機物ベース又は炭素ドープドSiO2であ
る低誘電率物質とTEOSベースのSiO2エッチスト
ップとの間の界面における密着性の問題を解決する。 【解決手段】 本発明は半導体集積回路の製造方法、特
に低誘電率有機物質間の密着性を改良するデュアルダマ
シン相互接続における交互のエッチストップの使用方法
に関する。このエッチストップ物質はシリコン含有物質
であり、そして低誘電率物質(k=3.5〜5)に変換
され、この物質は紫外線照射及びシリル化、酸素プラズ
マの後にシリコンに富む酸化シリコンになる。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は半導体集積回路装置
の製造方法、特に、デュアルダマシン相互接続中にエッ
チストップを交互に使用して低誘電率有機物質間の接合
を改良する方法であって、前記エッチストップはシリコ
ン含有物質から成る低誘電率物質であり、これは紫外線
照射及び酸素プラズマの後にシリコンに富む酸化シリコ
ンになる方法に関する。
【0002】
【従来の技術】“MOSデバイスのレジストの無いゲー
ト形成方法”と表題を付けられて、1998年11月3
日に許可されたShiralagi等の米国特許5,830,8
01はシリコンに富む酸化物層を形成する紫外線方法を
記述する。この方法はMOSゲートを形成し、そしてこ
のゲート領域を定めることに関し、光マスクをポリシリ
コン層の表面に隣接させて配置することにより酸化物マ
スクを形成し、そして前記表面を酸素含有雰囲気中で前
記光マスクを通じてディープ紫外線に曝すことを含む。
【0003】“銅の拡散を除去するためにリバースバリ
アメタル技術を用いて銅ダマシン相互接続を形成する方
法”と表題を付けられて、2000年3月21日に許可
されたLi等の米国特許6,040,243は下部の低低
誘電率(K)物質層を形成し、エッチストップ層を形成
し、上部の低誘電率(K)物質層を形成し、そしてデュ
アルダマシン開口をエッチングすることを含むデュアル
ダマシンプロセスを記述する。パッシベーション層のオ
ーバーエッチに基づく誘電体層中への銅の拡散はバリア
層によって除去される。この方法はデュアルダマシン相
互接続を形成するために使用できる。素子分離層を通る
銅トレース(copper traces)は半導体基板上に形成され
る。パッシベーション層を前記銅トレース及び前記素子
分離層の上に堆積する。誘電体層を堆積する。キャップ
層を堆積する。このキャップ層と誘電体層をパターン化
してパッシベーション層の頂面を露出させて、ダマシン
ビア用のトレンチを形成する。バリア層を前記パッシベ
ーション層、前記誘電体層、及び前記キャップ層の上に
堆積する。前記バリア層をエッチングして前記キャップ
層と前記パッシベーション層の頂面を露出させる。前記
バリア層は前記トレンチの側壁を素子分離する。前記パ
ッシベーション層をエッチングしてダマシンビアを完成
させる。前記バリア層は前記パッシベーション層のエッ
チング工程を通じて銅が前記誘電体層上にスパッタリン
グされることを防止する。
【0004】“オルガノシランを有する低誘電率(K)
誘電体の堆積方法”と表題を付けられて、2000年4
月25日に許可されたYau等の米国特許6,054,3
79はオルガノシリコン膜を酸化することによるデュア
ルダマシンプロセスを教示する。低誘電率膜を堆積する
方法及び装置がオルガノシラン化合物と酸化ガスとの反
応を用いて記述される。この酸化されたオルガノシラン
膜は他の誘電体層に隣接する下地膜又はキャップ層とし
て使用するためのバリア特性を有する。この酸化オルガ
ノシラン膜はデュアルダマシン構造体を製造するための
エッチストップ又はインターメタル誘電体層としても使
用できる。また前記酸化オルガノシラン膜は異なる誘電
体層間に優れた密着性を与える。
【0005】図1において、有機物又は炭素ドープドS
iO2物質(16)とTEOSベースのSiO2エッチス
トップ物質(17)(TEOS=テトラエチルオルトシ
リケート)との間の界面(19)における密着性の問題
を示す従来技術の方法が断面的に説明される。この問題
の背景として、有機物ベース又は炭素ドープドSiO2
物質である低誘電率有機物質をデュアルダマシンプロセ
スで集積することが困難であることが挙げられる(ビア
‐優先、トレンチ‐優先、又は自己整合ビアのアプロー
チに関係なく)。特に、図1の従来方法に示されるよう
に、低誘電率物質(16)とTEOSベースのSiO2
エッチストップ(17)との間の界面(19)における
密着性の問題が存在する。この密着性の問題に対する一
つの解決策はエッチストップとしてSiNを使用するこ
とである。しかしながら、SiNの誘電率は、SiO2
のk=3.9と比較して、約k=7と高すぎる。高誘電
率物質は相互接続配線中に高RC時定数遅延を生じる。
図1の従来技術で形成されるその他の物質層は以下の通
りである。即ち、半導体単結晶シリコン基板(10)及
びパターン化導電金属配線(14)(これは断面図で示
されない絶縁体中に埋め込まれる)が形成される。第1
の低誘電率物質(16)が形成され、これは有機物ベー
スの又は炭素ドープドSiO2である。TEOSベース
のSiO2エッチストップ物質(17)(テトラエチル
オルトシリケート)が形成される。第2の低誘電率物質
(18)が形成され、これは有機物ベースの又は炭素ド
ープドSiO2である。最後に、密着性の問題が前記低
誘電率物質(16)とTEOSベースのSiO2エッチ
ストップ(17)との間の界面(19)で生じる。
【0006】
【発明が解決しようとする課題】本発明の目的は、有機
物ベース又は炭素ドープドSiO2である前記低誘電率
物質と前記TEOSベースのSiO2エッチストップ
(テトラエチルオルトシリケート)との間の界面におけ
る密着性の問題を解決することである。
【0007】
【課題を解決するための手段】本発明は半導体集積回路
の製造方法、特に低誘電率有機物質間の密着性を改良す
るデュアルダマシン相互接続における交互のエッチスト
ップの使用方法に関する。また、このエッチストップ物
質はシリコン含有物質であって、紫外線照射及びシリル
化、酸素プラズマの後にシリコンに富む酸化シリコンに
変換される。
【0008】本発明は上述のように要約され、そして好
ましい態様に関して記述された。処理の詳細を少し省略
したが、当業者には理解されるであろう。本発明の更な
る詳細は“発明の実施の形態”の項で記述される。
【0009】本発明の目的と利点は添付の図面に関連し
た好ましい態様において記述される。
【0010】
【発明の実施の形態】本発明は半導体集積回路の製造方
法、特に有機物ベースの物質又は炭素ドープドSiO2
物質である低誘電率有機物質の間の密着性を改良するデ
ュアルダマシン配線における交互のエッチストップの使
用方法に関する。更に、このエッチストップ物質はシリ
コン含有物質であり、そして低誘電率物質(k=3.5
〜5)に変換され、この物質は紫外線照射及びシリル化
(silylation)、酸素プラズマの後にシリコンに富む酸化
シリコンになる。
【0011】図2において、有機物ベースの物質又は炭
素ドープドSiO2である低誘電率物質(26)上のシ
リコン含有密着層(27)、例えば、TSIレジストが
断面的に示される。この特別に処理された密着層は本発
明に重要である。図2に示されるその他の物質層は以下
の通りである。半導体基板(20)は、限定はされない
が、単結晶シリコン、シリコン‐オン‐絶縁体(SO
I)、及びシリコン‐ゲルマニウム(SiGe)を含
む。パターン化された導電金属配線(24)であって、
これは断面的に図示されていない絶縁体中に埋め込まれ
る。半導体基板(20)は絶縁物質及び/又は導電物質
の1又はそれ以上の層、及び前記基板又は類似物の中又
は上に形成された1又はそれ以上の能動及び/又は受動
の素子、及びビア、コンタクト、トレンチ、金属配線等
のような1又はそれ以上の相互接続構造体を含むと理解
すべきである。絶縁体、好ましくは窒化シリコンである
パッシベーション層(25)が、断面的に図示されてい
ない絶縁体中に埋め込まれているパターン化導電配線
(24)上に堆積される。次いで、有機物ベースの物質
又は炭素ドープドSiO2物質である第1の低誘電率物
質層(26)がパッシベーション層(25)上に堆積さ
れる。本発明で重要なことは次の工程、即ち、有機物ベ
ースの物質又は炭素ドープドSiO2物質である前記低
誘電率有機物質層(26)の上に直接に被覆されるシリ
コン含有密着層(27)(これはTSIレジストの処理
により誘導される)を表面に被覆することである。この
シリコン含有密着層(27)、例えば、TSIレジス
ト、はデュアルダマシン法における交互のエッチストッ
プ物質であり、そして次の処理工程で記述されるよう
に、紫外線照射及びシリル化、酸素プラズマの後に、シ
リル化を通じてシリコンに富む酸化シリコンに変換され
る。
【0012】酸化シリコンから構成される層間絶縁膜
(ILD)も低誘電率物質層と組合して使用できる。こ
の酸化シリコンはテトラエトキシシラン(TEOS)を
用いた化学的気相成長法(CVD)により1,000〜
10,000オングストロームの厚さに堆積される。低
誘電体絶縁層の処理の詳細は以下の通りである。デュア
ルダマシンのトレンチ/ビアを構成するための層厚は
1,000〜10,000オングストロームの範囲内で
ある。しかしながら、本発明の重要な態様はシングルダ
マシン相互接続に対しても適用される。低誘電率(k)
物質は化学的気相成長法(CVD)又はスピン塗布によ
り形成される。このスピン塗布法はポスト‐塗布オーブ
ンベーク(post-coating oven bake)及び任意の加熱炉硬
化工程を必要とする。前記低誘電率(k)物質は有機物
ベースの物質であって、100%炭素を含む有機ポリマ
ー及び次の成分、即ち水素、フッ素、硫黄、窒素、臭素
の1又はそれ以上の成分を有する炭素を含む有機ポリマ
ーから成る群から選ばれる。有機物ベースの物質はbuck
minsterfullerene、SiLK(ダウケミカル社から得られ
る)及びFLARE(Honeywell社から得られる)から成る群
から選ばれる。炭素ドープドSiO2物質はアルキルシ
ルセスキオキサン(alkyl silsesquioxane)、アリールシ
ルセスキオキサン(aryl silsesquioxane)、CORAL(Nove
llus社から得られる)、及びBlack Diamond(Applied M
aterials社から得られる)から成る群から選ばれる。有
機物ベースの物質及び炭素ドープドSiO2物質は共に
非多孔質又は多孔質形状で入手できる。
【0013】本発明のその他の重要な工程を断面的に説
明する図3に示すように、シリコン含有密着層(37)
を紫外線放射(38)に曝して、シリコンに富む物質か
ら構成される露光層を形成する。この紫外線の波長は4
36〜100nmの範囲内にあり、露光時間は1秒〜5
分である。他の好ましい態様において、50〜400℃
の予備‐シリル化焼成(pre-silylation bake)により前
記露光層の架橋が促進される。
【0014】本発明の最後の重要な工程を断面的に説明
する図4に示すように、シリコンに富む密着層(47)
は、シリル化及び酸素プラズマ処理を通じてSiに富む
SiOに変換され、その結果、3.5〜5の誘電率
(k)値を有する低誘電率物質になる。シリル化の条件
は以下の通りである。即ち、不活性ガス、例えば、窒素
を液体のHMDS(ヘキサメチルジシラザン)中にバブ
リングして、HMDSを気相中に移送する。このHMD
S蒸気を次いで反応室内でTSIレジストに導入し、こ
こで前記シリコン基板ウエハを40〜150℃まで加熱
する。またHMDS(ヘキサメチルジシラザン)の10
%キシレン溶液を用いると、前記レジストをシリル化で
きる。前記酸素プラズマ工程又は処理の条件は以下の通
りである。即ち、この処理は酸素ガスを用いて1ミリト
ル〜50トルの圧力、40〜400℃の温度で実施され
る。酸素流量は100sccm〜50,000sccm
である。RF又はマイクロ波が前記プラズマに容量的に
(capacitatively)結合する場合、100〜2,000ワ
ットの電力設定値が使用できる。形成ガス(96%窒
素、4%水素)が酸素の解離を助けるために、前記酸素
ガスに任意に添加される。
【0015】デュアルダマシン構造における本発明の次
の工程を断面的に説明する図5に示すように、特別に処
理されたSiに富むSiO密着層(47)上に第2の低
誘電率物質層(50)が堆積される。この場合のデュア
ルダマシン構造及び処理の形態は一般的なものである。
【0016】図6で断面的に説明されるように、デュア
ルダマシンのトレンチ/ビアの開口の形成、銅メッキ及
び後の表面平坦化が示される。特別に処理されたSiに
富むSiO密着層(47)は、前記低誘電率物質層(2
6)及び(50)と同様に、パターン化され、そしてエ
ッチングされて、トレンチ(60矢印)及びビア(62
矢印)の開口を形成する。また特別に処理されたSiに
富むSiO密着層(47)はデュアルダマシントレンチ
形成におけるエッチストップとして作用させるために使
用できる。バリアメタル層(64)がトレンチ/ビアの
開口又は穴の中に堆積されて、トレンチ/ビアの下地膜
(liner)として作用する。前記バリアメタル層の厚さは
約50〜2,000オングストロームである。前記バリ
アメタルはタンタル、窒化タンタル、窒化チタン、及び
三元窒化シリコンメタル(ternarymetal silicon nitrid
e)から成る群から選ばれる。
【0017】図6で再度断面的に説明されるように、デ
ュアルダマシンプロセスにおいて、トレンチ/ビアの開
口又は穴を導電メタル(65)で充填することが示され
る。低誘電率絶縁体の2つの層(26)および(50)
をパターン化し、そして反応性イオンエッチング(RI
E)して、トレンチ(60矢印)及びビア(62矢印)
の開口を形成する。多くの光リソグラフィ法がトレンチ
/ビアの開口をパターン化するために採用できる。上述
したように、トレンチ/ビアの開口又は穴を形成した後
に、このトレンチ/ビアをバリア層(64)のブランケ
ット(blanket)堆積物で充填する。このバリア層は約5
0〜2,000オングストローム厚である。電気メッキ
は前記バリア層上に銅の種層(copper seed layer)(図
示せず)の堆積を必要とする。この種層の厚さは50〜
2,000オングストロームであり、そして化学的気相
成長法(CVD)により、又はスパッタリングを含む物
理的気相成長法(PVD)により堆積する。バリア層
(64)は前記トレンチ/ビアの開口又は穴を完全に内
張りし、そして低誘電率絶縁体の2つの層(26)およ
び(50)の上にそれぞれ存在する。次に、厚い導電銅
(conducting copper)(65)が電気メッキ(好まし
い方法)又は無電解メッキによって前記銅種層上に堆積
する。銅の電気メッキは厚い銅を得るためには好ましい
方法である。厚い銅層(65)は前記トレンチ/ビアの
開口又は穴の中に入り込む。メッキされた厚い銅の堆積
物は約1〜10ミクロンの厚さである。このメッキされ
た厚い銅は50〜450℃の短時間アニール(RTA)
を用いたアニーリング工程を実施される。
【0018】図6で更に断面的に説明されるように、ト
レンチ/ビアの開口又は穴の中の過剰の物質を平坦化し
て、デュアルダマシンプロセスにおけるインレイ(inlai
d)銅(65)を用いて、導電接続配線(60)及び導電
コンタクトビア(62)を形成する。前記厚銅層の過剰
な物質を前記バリアメタル層と共に化学的機械研摩(C
MP)により研摩し、そして平坦化する。本発明で重要
なことは適所に置かれた特別処理されたSiに富むSi
O密着層(47)である。最後に、本発明の任意の工程
としては、キャップ保護層(69)の堆積とパターン化
がある。このキャップ層は窒化シリコン、オキシ窒化シ
リコン、及び炭化ケイ素から成る群から選ばれる。この
キャップ層は化学的気相成長法(CVD)により、50
〜5,000オングストロームの厚さに堆積する。
【0019】本発明は好ましい態様について特に示され
たが、種々の変更を本発明の精神及び範囲を逸脱するこ
となく行うことができることは当業者にとって理解でき
るであろう。
【図面の簡単な説明】
【図1】有機物又は炭素ドープドSiO2物質とTEO
SベースのSiO2エッチストップ物質との間の界面に
おける密着性の問題を説明する従来技術の方法を示す断
面図。
【図2】低誘電率物質上のシリコン含有密着層を示す本
発明の断面図。
【図3】紫外線放射に曝されて、シリコンに富む表面層
を形成するシリコン含有密着層を示す本発明の断面図。
【図4】シリル化、酸素プラズマを通じてSiに富むS
iOに変換され、そして3.5〜5の低誘電率を有する
シリコンに富む密着層を示す本発明の断面図。
【図5】Siに富むSiO密着層上への第2低誘電率物
質層の堆積を示す本発明の断面図。
【図6】銅メッキ及びその後の表面平坦化によるデュア
ルダマシントレンチ/ビア開口の形成を示す本発明の断
面図。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 サブハシュ・ギュプタ シンガポール国シンガポール 259805,ナ ンバー 05−04,バルモラル・ロード 21 (72)発明者 スー・イ カナダ国 ヴイ3エヌ 4エム9,バーナ ビー,ミルブルック・レイン 9883 (72)発明者 サイモン・チョーイ シンガポール国シンガポール 277596,ロ ータス・アベニュー 6 (72)発明者 メイ−シェン・チョウ シンガポール国シンガポール 688391,ヴ ェルデ・クレッセント 36 Fターム(参考) 5F033 GG01 HH11 HH19 HH21 HH32 HH33 JJ01 JJ11 JJ19 JJ21 JJ32 JJ33 MM01 MM02 MM12 MM13 NN06 NN07 PP06 PP14 PP15 PP27 PP28 QQ00 QQ09 QQ13 QQ25 QQ37 QQ54 QQ73 QQ74 QQ82 RR01 RR04 RR06 RR08 RR21 RR23 RR25 RR29 SS04 SS11 SS22 TT04 WW00 WW01 WW02 WW03 WW04 WW05 WW06 XX12 XX24

Claims (34)

    【特許請求の範囲】
  1. 【請求項1】 半導体基板を準備し、 前記基板上の絶縁体中に埋め込まれたパターン化金属配
    線を準備し、 前記パターン化金属配線上にパッシベーション層を堆積
    し、 前記パッシベーション層上に第1の低誘電率物質層を堆
    積し、 前記第1低誘電率物質層上にシリコン含有密着層を被覆
    し、 前記密着層を紫外線放射に曝し、 前記密着層をシリル化及び酸素プラズマプロセスに曝
    し、 前記密着層上に第2の低誘電率物質層を堆積し、 前記複数層のパターン化及びエッチングを実施して、ト
    レンチ/ビアの開口又は穴を形成し、 前記基板の上及び前記トレンチ/ビア開口の中にバリア
    メタルのブランケット層を堆積し、 前記バリアメタル上にブランケット導電銅の種層を堆積
    し、 電気メッキ又は無電解メッキによって前記銅種層上に導
    電厚銅を堆積する、 ことを含むダマシンの製造方法。
  2. 【請求項2】 前記半導体基板は単結晶シリコン、シリ
    コン‐オン‐絶縁体(SOI)、半導体装置を備えるシ
    リコン‐ゲルマニウム(SiGe)、相互接続配線、及
    び前記基板上のコンタクトビアから成る群から選ばれ
    る、請求項1記載の方法。
  3. 【請求項3】 前記第1低誘電率物質層は有機物ベース
    の物質又は炭素ドープドSiO2物質の層であり、前記
    有機物ベースの物質は100%炭素を含む有機ポリマー
    及び次の成分、即ち水素、フッ素、硫黄、窒素、臭素の
    1又はそれ以上の成分を有する炭素を含む有機ポリマー
    から成る群から選ばれ、また前記炭素ドープドSiO2
    物質はアルキルシルセスキオキサン、アリールシルセス
    キオキサン、CORAL(Novellus社から得られる)、及びB
    lack Diamond(Applied Materials社から得られる)か
    ら成る群から選ばれる、請求項1記載の方法。
  4. 【請求項4】 前記第2低誘電率物質層は有機物ベース
    の物質又は炭素ドープドSiO2物質の層であり、前記
    有機物ベースの物質は100%炭素を含む有機ポリマー
    及び次の成分、即ち水素、フッ素、硫黄、窒素、臭素の
    1又はそれ以上の成分を有する炭素を含む有機ポリマー
    から成る群から選ばれ、また前記炭素ドープドSiO2
    物質はアルキルシルセスキオキサン、アリールシルセス
    キオキサン、CORAL(Novellus社から得られる)、及びB
    lack Diamond(Applied Materials社から得られる)か
    ら成る群から選ばれる、請求項1記載の方法。
  5. 【請求項5】 前記密着層はシリル化、紫外線照射、酸
    素プラズマ処理を含む後の処理工程によりシリコンに富
    む酸化シリコンに変換されるTSIレジストから成る群
    から選ばれるシリコン含有物質から構成され、そして
    3.5〜5の低誘電率を有する、請求項1記載の方法。
  6. 【請求項6】 前記密着層は露光されて露光層を形成
    し、この露光層はシリコンに富む物質から構成され、こ
    の紫外線波長は436〜100nmの範囲内にあり、露
    光時間は1秒〜5分であり、また50〜400℃の予備
    ‐シリル化焼成により前記露光層の架橋が促進される、
    請求項1記載の方法。
  7. 【請求項7】 TSIレジストを有する前記密着層はシ
    リル化を実施され、このシリル化条件は以下の通り、即
    ち、不活性ガスの窒素が液体HMDS(ヘキサメチルジ
    シラザン)中でバブリングされ、その結果、気相のHM
    DSが反応室内でTSIレジストに移送され、ここで前
    記シリコン基板ウエハが40〜150℃に加熱され、又
    はHMDS(ヘキサメチルジシラザン)の10%キシレ
    ン溶液を用いて前記レジストをシリル化させる、請求項
    1記載の方法。
  8. 【請求項8】 TSIレジストを有する前記密着層は酸
    素プラズマ工程又は処理を実施され、この酸素プラズマ
    の条件は以下の通り、即ち、この処理が酸素ガスを用い
    て1ミリトル〜50トルの圧力、40〜400℃の温度
    で実施され、酸素流量が100sccm〜50,000
    sccmであり、RF又はマイクロ波が前記プラズマに
    容量的に結合する場合の電力設定値が100〜2,00
    0ワットであり、形成ガス(96%窒素、4%水素)が
    酸素の解離を助けるために前記酸素ガスに添加される、
    請求項1記載の方法。
  9. 【請求項9】 前記バリア層はタンタル、窒化タンタ
    ル、窒化チタン、三元窒化シリコンメタルから成る群か
    ら選ばれ、そして前記バリア(金属)層の厚さは約50
    〜2,000オングストロームである、請求項1記載の
    方法。
  10. 【請求項10】 前記銅種層は前記バリア層上に化学的
    気相成長法(CVD)により、又はスパッタリングを含
    む物理的気相成長法(PVD)により、50〜2,00
    0オングストロームの厚さに堆積する、請求項1記載の
    方法。
  11. 【請求項11】 前記導電厚銅は電気メッキ又は無電解
    メッキによって前記銅種層上に1〜10ミクロンの厚さ
    に堆積した銅である、請求項1記載の方法。
  12. 【請求項12】 半導体基板を準備し、 前記基板上の絶縁体中に埋め込まれたパターン化金属配
    線を準備し、 前記パターン化金属配線上にパッシベーション層を堆積
    し、 前記パッシベーション層上に第1の低誘電率物質層を堆
    積し、 前記第1低誘電率物質層上にシリコン含有密着層を被覆
    し、 前記密着層を紫外線放射に曝し、 前記密着層をシリル化及び酸素プラズマプロセスに曝
    し、 前記密着層上に第2の低誘電率物質層を堆積し、 前記第2及び第1の低誘電率物質層、前記密着層、及び
    前記パッシベーション層のパターン化及びエッチングを
    実施して、前記パターン化金属配線まで下方にエッチン
    グされたトレンチ/ビアの開口又は穴を形成し、 前記基板の上及び前記トレンチ/ビア開口の中にバリア
    メタルのブランケット層を堆積し、 前記バリアメタル上にブランケット導電銅の種層を堆積
    し、 電気メッキ又は無電解メッキによって前記銅種層上に導
    電厚銅を堆積し、 前記平面を化学的機械研摩し、平坦化し、過剰の厚銅、
    過剰の銅種層及び過剰のバリアメタルを除去し、デュア
    ルダマシンプロセスにおいて前記トレンチ/ビアを内張
    りするバリアメタルを用いて、インレイ相互接続及びコ
    ンタクトビアを導電ラインまで形成する、 ことを含むシリコン含有密着層を用いたダマシントレン
    チ/ビアの製造方法。
  13. 【請求項13】 前記半導体基板は単結晶シリコン、シ
    リコン‐オン‐絶縁体(SOI)、半導体装置を備える
    シリコン‐ゲルマニウム(SiGe)、相互接続配線、
    及び前記基板上のコンタクトビアから成る群から選ばれ
    る、請求項12記載の方法。
  14. 【請求項14】 前記第1低誘電率物質層は有機物ベー
    スの物質又は炭素ドープドSiO2物質から成る群から
    選ばれる層であり、前記有機物ベースの物質は100%
    炭素を含む有機ポリマー及び次の成分、即ち水素、フッ
    素、硫黄、窒素、臭素の1又はそれ以上の成分を有する
    炭素を含む有機ポリマーから成る群から選ばれ、また前
    記炭素ドープドSiO2物質はアルキルシルセスキオキ
    サン、アリールシルセスキオキサン、CORAL(Novellus
    社から得られる)、及びBlack Diamond(Applied Mater
    ials社から得られる)から成る群から選ばれる、請求項
    12記載の方法。
  15. 【請求項15】 前記第2低誘電率物質層は有機物ベー
    スの物質又は炭素ドープドSiO2物質から成る群から
    選ばれる層であり、前記有機物ベースの物質は100%
    炭素を含む有機ポリマー及び次の成分、即ち水素、フッ
    素、硫黄、窒素、臭素の1又はそれ以上の成分を有する
    炭素を含む有機ポリマーから成る群から選ばれ、また前
    記炭素ドープドSiO2物質はアルキルシルセスキオキ
    サン、アリールシルセスキオキサン、CORAL(Novellus
    社から得られる)、及びBlack Diamond(Applied Mater
    ials社から得られる)から成る群から選ばれる、請求項
    12記載の方法。
  16. 【請求項16】 前記密着層はシリル化、紫外線照射、
    酸素プラズマ処理を含む後の処理工程によりシリコンに
    富む酸化シリコンに変換されるTSIレジストから成る
    群から選ばれるシリコン含有物質から構成され、そして
    3.5〜5の低誘電率を有する、請求項12記載の方
    法。
  17. 【請求項17】 前記密着層は露光されて露光層を形成
    し、この露光層はシリコンに富む物質から構成され、こ
    の紫外線波長は436〜100nmの範囲内にあり、露
    光時間は1秒〜5分であり、また50〜400℃の予備
    ‐シリル化焼成により前記露光層の架橋が促進される、
    請求項12記載の方法。
  18. 【請求項18】 TSIレジストを有する前記密着層は
    シリル化を実施され、このシリル化条件は以下の通り、
    即ち、不活性ガスの窒素が液体HMDS(ヘキサメチル
    ジシラザン)中でバブリングされ、その結果、気相のH
    MDSが反応室内でTSIレジストに移送され、ここで
    前記シリコン基板ウエハが40〜150℃に加熱され、
    又はHMDS(ヘキサメチルジシラザン)の10%キシ
    レン溶液を用いて前記レジストをシリル化させる、請求
    項12記載の方法。
  19. 【請求項19】 TSIレジストを有する前記密着層は
    酸素プラズマ工程又は処理を実施され、この酸素プラズ
    マの条件は以下の通り、即ち、この処理が酸素ガスを用
    いて1ミリトル〜50トルの圧力、40〜400℃の温
    度で実施され、酸素流量が100sccm〜50,00
    0sccmであり、RF又はマイクロ波が前記プラズマ
    に容量的に結合する場合の電力設定値が100〜2,0
    00ワットであり、形成ガス(96%窒素、4%水素)
    が酸素の解離を助けるために前記酸素ガスに添加され
    る、請求項12記載の方法。
  20. 【請求項20】 前記バリア層はタンタル、窒化タンタ
    ル、窒化チタン、三元窒化シリコンメタルから成る群か
    ら選ばれ、そして前記バリア(金属)層の厚さは約50
    〜2,000オングストロームである、請求項12記載
    の方法。
  21. 【請求項21】 前記銅種層は前記バリア層上に化学的
    気相成長法(CVD)により、又はスパッタリングを含
    む物理的気相成長法(PVD)により、50〜2,00
    0オングストロームの厚さに堆積する、請求項12記載
    の方法。
  22. 【請求項22】 前記導電厚銅は無電解メッキによって
    前記銅種層上に1〜10ミクロンの厚さに堆積した銅で
    ある、請求項12記載の方法。
  23. 【請求項23】 半導体装置の製造に際して、デュアル
    ダマシンにおける交互のエッチストップであるシリコン
    含有低誘電率密着層を用いてダマシントレンチ/ビアを
    製造する方法であって、 半導体基板内に半導体装置を有する半導体基板を準備
    し、 前記基板上の絶縁体中に埋め込まれたパターン化金属配
    線を準備し、 前記パターン化金属配線上にパッシベーション層を堆積
    し、 前記パッシベーション層上に、有機物ベースの又は炭素
    ドープドSiO2物質である第1の低誘電率物質層を堆
    積し、 前記第1低誘電率物質層上にシリコン含有密着層を被覆
    し、 前記密着層を紫外線放射に曝して、シリコンに富む物質
    層を形成し、 前記密着層をシリル化及び酸素プラズマプロセスに曝し
    て、前記密着層をシリコンに富む酸化シリコンに変換
    し、 前記密着層上に有機物ベースの又は炭素ドープドSiO
    2である第2の低誘電率物質層を堆積し、 前記第2及び第1の低誘電率物質層、前記密着層、及び
    前記パッシベーション層のパターン化及びエッチングを
    実施して、前記パターン化金属配線まで下方にエッチン
    グされたトレンチ/ビアの開口又は穴を形成し、 前記基板の上及び前記トレンチ/ビア開口の中にバリア
    メタルのブランケット層を堆積し、 前記バリアメタル上にブランケット導電銅の種層を堆積
    し、 電気メッキ又は無電解メッキによって前記銅種層上に導
    電厚銅を堆積し、 前記平面を化学的機械研摩し、平坦化し、過剰の厚銅、
    過剰の銅種層及び過剰のバリアメタルを除去し、デュア
    ルダマシンプロセスにおいて前記トレンチ/ビアを内張
    りするバリアメタルを用いて、インレイ相互接続及びコ
    ンタクトビアを導電ラインまで形成し、 前記第2低誘電率物質層の表面に任意のキャップ層を堆
    積して形成し、これにより前記デュアルダマシンを不動
    体化する、 ことを含む前記製造方法。
  24. 【請求項24】 前記半導体基板は単結晶シリコン、シ
    リコン‐オン‐絶縁体(SOI)、半導体装置を備える
    シリコン‐ゲルマニウム(SiGe)、相互接続配線、
    及び前記基板上のコンタクトビアから成る群から選ばれ
    る、請求項23記載の方法。
  25. 【請求項25】 前記第1低誘電率物質層は有機物ベー
    スの物質又は炭素ドープドSiO2物質から成る群から
    選ばれる層であり、前記有機物ベースの物質は100%
    炭素を含む有機ポリマー及び次の成分、即ち水素、フッ
    素、硫黄、窒素、臭素の1又はそれ以上の成分を有する
    炭素を含む有機ポリマーから成る群から選ばれ、また前
    記炭素ドープドSiO2物質はアルキルシルセスキオキ
    サン、アリールシルセスキオキサン、CORAL(Novellus
    社から得られる)、及びBlack Diamond(Applied Mater
    ials社から得られる)から成る群から選ばれる、請求項
    23記載の方法。
  26. 【請求項26】 前記第2低誘電率物質層は有機物ベー
    スの物質又は炭素ドープドSiO2物質から成る群から
    選ばれる層であり、前記有機物ベースの物質は100%
    炭素を含む有機ポリマー及び次の成分、即ち水素、フッ
    素、硫黄、窒素、臭素の1又はそれ以上の成分を有する
    炭素を含む有機ポリマーから成る群から選ばれ、また前
    記炭素ドープドSiO2物質はアルキルシルセスキオキ
    サン、アリールシルセスキオキサン、CORAL(Novellus
    社から得られる)、及びBlack Diamond(Applied Mater
    ials社から得られる)から成る群から選ばれる、請求項
    23記載の方法。
  27. 【請求項27】 前記密着層はシリル化、紫外線照射、
    酸素プラズマ処理を含む後の処理工程によりシリコンに
    富む酸化シリコンに変換されるTSIレジストから成る
    群から選ばれるシリコン含有物質から構成され、そして
    3.5〜5の低誘電率を有する、請求項23記載の方
    法。
  28. 【請求項28】 前記密着層は露光されて露光層を形成
    し、この露光層はシリコンに富む物質から構成され、こ
    の紫外線波長は436〜100nmの範囲内にあり、露
    光時間は1秒〜5分であり、また50〜400℃の予備
    ‐シリル化焼成により前記露光層の架橋が促進される、
    請求項23記載の方法。
  29. 【請求項29】 TSIレジストを有する前記密着層は
    シリル化を実施され、このシリル化条件は以下の通り、
    即ち、不活性ガスの窒素が液体HMDS(ヘキサメチル
    ジシラザン)中でバブリングされ、その結果、気相のH
    MDSが反応室内でTSIレジストに移送され、ここで
    前記シリコン基板ウエハが40〜150℃に加熱され、
    又はHMDS(ヘキサメチルジシラザン)の10%キシ
    レン溶液を用いて前記レジストをシリル化させる、請求
    項23記載の方法。
  30. 【請求項30】 TSIレジストを有する前記密着層は
    酸素プラズマ工程又は処理を実施され、この酸素プラズ
    マの条件は以下の通り、即ち、この処理が酸素ガスを用
    いて1ミリトル〜50トルの圧力、40〜400℃の温
    度で実施され、酸素流量が100sccm〜50,00
    0sccmであり、RF又はマイクロ波が前記プラズマ
    に容量的に結合する場合の電力設定値が100〜2,0
    00ワットであり、形成ガス(96%窒素、4%水素)
    が酸素の解離を助けるために前記酸素ガスに添加され
    る、請求項23記載の方法。
  31. 【請求項31】 前記バリア層はタンタル、窒化タンタ
    ル、窒化チタン、三元窒化シリコンメタルから成る群か
    ら選ばれ、そして前記バリア(金属)層の厚さは約50
    〜2,000オングストロームである、請求項23記載
    の方法。
  32. 【請求項32】 前記銅種層は前記バリア層上に化学的
    気相成長法(CVD)により、又はスパッタリングを含
    む物理的気相成長法(PVD)により、50〜2,00
    0オングストロームの厚さに堆積する、請求項23記載
    の方法。
  33. 【請求項33】 前記導電厚銅は電気メッキ又は無電解
    メッキによって前記銅種層上に1〜10ミクロンの厚さ
    に堆積した銅である、請求項23記載の方法。
  34. 【請求項34】 前記任意のキャップ層は窒化シリコ
    ン、オキシ窒化シリコン、炭化ケイ素から成る群から選
    ばれ、そして化学的気相成長法(CVD)により、50
    〜5,000オングストロームの厚さに堆積する、請求
    項23記載の方法。
JP2002063783A 2001-03-15 2002-03-08 デュアルダマシン相互接続における有機物誘電体の密着性を改良する方法 Pending JP2002324838A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/805,955 US6348407B1 (en) 2001-03-15 2001-03-15 Method to improve adhesion of organic dielectrics in dual damascene interconnects
US09/805955 2001-03-15

Publications (1)

Publication Number Publication Date
JP2002324838A true JP2002324838A (ja) 2002-11-08

Family

ID=25192951

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2002063783A Pending JP2002324838A (ja) 2001-03-15 2002-03-08 デュアルダマシン相互接続における有機物誘電体の密着性を改良する方法

Country Status (4)

Country Link
US (1) US6348407B1 (ja)
JP (1) JP2002324838A (ja)
SG (1) SG120056A1 (ja)
TW (1) TWI244158B (ja)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007305739A (ja) * 2006-05-10 2007-11-22 Nec Electronics Corp 半導体装置
JP2007535662A (ja) * 2004-04-02 2007-12-06 カミンズ,チモシー 統合電子センサ
JP2007318141A (ja) * 2006-05-25 2007-12-06 Internatl Business Mach Corp <Ibm> 貴金属ライナとこれに隣接する誘電材料間の付着性を向上させた相互接続構造およびその製造方法(金属/誘電体界面のための付着性向上)
KR100984195B1 (ko) * 2007-02-15 2010-09-28 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 유전체 필름의 물성을 강화하기 위한 활성 화학 공정
KR20150035509A (ko) * 2012-07-13 2015-04-06 어플라이드 머티어리얼스, 인코포레이티드 다공성 저-k 막의 유전 상수를 감소시키기 위한 방법

Families Citing this family (155)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6274292B1 (en) * 1998-02-25 2001-08-14 Micron Technology, Inc. Semiconductor processing methods
US7804115B2 (en) * 1998-02-25 2010-09-28 Micron Technology, Inc. Semiconductor constructions having antireflective portions
US6268282B1 (en) * 1998-09-03 2001-07-31 Micron Technology, Inc. Semiconductor processing methods of forming and utilizing antireflective material layers, and methods of forming transistor gate stacks
US6828683B2 (en) * 1998-12-23 2004-12-07 Micron Technology, Inc. Semiconductor devices, and semiconductor processing methods
US7067414B1 (en) * 1999-09-01 2006-06-27 Micron Technology, Inc. Low k interlevel dielectric layer fabrication methods
US6440860B1 (en) * 2000-01-18 2002-08-27 Micron Technology, Inc. Semiconductor processing methods of transferring patterns from patterned photoresists to materials, and structures comprising silicon nitride
WO2002013262A2 (en) * 2000-08-07 2002-02-14 Amberwave Systems Corporation Gate technology for strained surface channel and strained buried channel mosfet devices
TW471134B (en) * 2001-02-27 2002-01-01 United Microelectronics Corp Manufacturing method for multilevel interconnects
US6605545B2 (en) * 2001-06-01 2003-08-12 United Microelectronics Corp. Method for forming hybrid low-K film stack to avoid thermal stress effect
WO2002103760A2 (en) * 2001-06-14 2002-12-27 Amberware Systems Corporation Method of selective removal of sige alloys
US6683006B2 (en) * 2001-06-25 2004-01-27 Tokyo Electron Limited Film forming method and film forming apparatus
JP2003282698A (ja) * 2002-03-22 2003-10-03 Sony Corp 半導体装置の製造方法および半導体装置
US6989230B2 (en) * 2002-03-29 2006-01-24 Infineon Technologies Ag Producing low k inter-layer dielectric films using Si-containing resists
US6790772B2 (en) * 2002-05-09 2004-09-14 Macronix International Co., Ltd. Dual damascene processing method using silicon rich oxide layer thereof and its structure
US6993738B2 (en) * 2002-06-18 2006-01-31 Ip-First, Llc Method for allocating spare cells in auto-place-route blocks
US6967155B2 (en) * 2003-07-11 2005-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Adhesion of copper and etch stop layer for copper alloy
US7060554B2 (en) * 2003-07-11 2006-06-13 Advanced Micro Devices, Inc. PECVD silicon-rich oxide layer for reduced UV charging
US7425505B2 (en) * 2003-07-23 2008-09-16 Fsi International, Inc. Use of silyating agents
US20050035455A1 (en) * 2003-08-14 2005-02-17 Chenming Hu Device with low-k dielectric in close proximity thereto and its method of fabrication
US6992003B2 (en) * 2003-09-11 2006-01-31 Freescale Semiconductor, Inc. Integration of ultra low K dielectric in a semiconductor fabrication process
US6924242B2 (en) * 2003-10-23 2005-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. SiOC properties and its uniformity in bulk for damascene applications
US6903004B1 (en) 2003-12-16 2005-06-07 Freescale Semiconductor, Inc. Method of making a semiconductor device having a low K dielectric
US7253125B1 (en) 2004-04-16 2007-08-07 Novellus Systems, Inc. Method to improve mechanical strength of low-k dielectric film using modulated UV exposure
US7282436B2 (en) * 2004-05-11 2007-10-16 Texas Instruments Incorporated Plasma treatment for silicon-based dielectrics
US9659769B1 (en) 2004-10-22 2017-05-23 Novellus Systems, Inc. Tensile dielectric films using UV curing
US7125796B2 (en) * 2004-11-30 2006-10-24 Motorola, Inc. Plasma etch process for multilayer vias having an organic layer with vertical sidewalls
US7354852B2 (en) * 2004-12-09 2008-04-08 Asm Japan K.K. Method of forming interconnection in semiconductor device
US8889233B1 (en) 2005-04-26 2014-11-18 Novellus Systems, Inc. Method for reducing stress in porous dielectric films
US8454750B1 (en) 2005-04-26 2013-06-04 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8980769B1 (en) 2005-04-26 2015-03-17 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
KR100680499B1 (ko) * 2005-11-02 2007-02-08 동부일렉트로닉스 주식회사 반도체 소자 및 그 제조 방법
US20070249164A1 (en) * 2006-04-20 2007-10-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method of fabricating an interconnect structure
US8465991B2 (en) 2006-10-30 2013-06-18 Novellus Systems, Inc. Carbon containing low-k dielectric constant recovery using UV treatment
US10037905B2 (en) * 2009-11-12 2018-07-31 Novellus Systems, Inc. UV and reducing treatment for K recovery and surface clean in semiconductor processing
US20100267231A1 (en) * 2006-10-30 2010-10-21 Van Schravendijk Bart Apparatus for uv damage repair of low k films prior to copper barrier deposition
TWI421001B (zh) * 2007-02-12 2013-12-21 Unimicron Technology Corp 電路板結構及其製法
US20080242118A1 (en) * 2007-03-29 2008-10-02 International Business Machines Corporation Methods for forming dense dielectric layer over porous dielectrics
US8211510B1 (en) 2007-08-31 2012-07-03 Novellus Systems, Inc. Cascaded cure approach to fabricate highly tensile silicon nitride films
US20090093135A1 (en) * 2007-10-04 2009-04-09 Asm Japan K.K. Semiconductor manufacturing apparatus and method for curing material with uv light
US20090093134A1 (en) * 2007-10-05 2009-04-09 Asm Japan K.K Semiconductor manufacturing apparatus and method for curing materials with uv light
US20090098741A1 (en) * 2007-10-15 2009-04-16 Asm Japan K.K. Method for forming ultra-thin boron-containing nitride films and related apparatus
TWI409880B (zh) * 2008-08-27 2013-09-21 Macronix Int Co Ltd 一種用來製造半導體裝置的方法
US9050623B1 (en) 2008-09-12 2015-06-09 Novellus Systems, Inc. Progressive UV cure
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
KR102008956B1 (ko) 2012-07-18 2019-08-09 삼성디스플레이 주식회사 표시 장치 및 그 제조 방법
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
CN102842569A (zh) * 2012-09-24 2012-12-26 复旦大学 一种用于铜互连的刻蚀阻挡层及其制造方法
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9076845B2 (en) 2013-10-03 2015-07-07 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming a high density dielectric etch-stop layer
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9460997B2 (en) * 2013-12-31 2016-10-04 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure for semiconductor devices
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) * 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9847221B1 (en) 2016-09-29 2017-12-19 Lam Research Corporation Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN113066719B (zh) * 2021-03-18 2023-03-24 吉林华微电子股份有限公司 一种硅片制作方法及硅片

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100382253B1 (ko) * 1994-05-25 2003-08-21 지멘스 악티엔게젤샤프트 건식현상가능한포지티브레지스트
US5830801A (en) 1997-01-02 1998-11-03 Motorola, Inc. Resistless methods of gate formation in MOS devices
US6080655A (en) * 1997-08-21 2000-06-27 Micron Technology, Inc. Method for fabricating conductive components in microelectronic devices and substrate structures thereof
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6232235B1 (en) * 1998-06-03 2001-05-15 Motorola, Inc. Method of forming a semiconductor device
US6218302B1 (en) * 1998-07-21 2001-04-17 Motorola Inc. Method for forming a semiconductor device
US6040243A (en) 1999-09-20 2000-03-21 Chartered Semiconductor Manufacturing Ltd. Method to form copper damascene interconnects using a reverse barrier metal scheme to eliminate copper diffusion
US6251804B1 (en) * 2000-05-22 2001-06-26 United Microelectronics Corp. Method for enhancing adhesion of photo-resist to silicon nitride surfaces

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007535662A (ja) * 2004-04-02 2007-12-06 カミンズ,チモシー 統合電子センサ
JP2007305739A (ja) * 2006-05-10 2007-11-22 Nec Electronics Corp 半導体装置
JP2007318141A (ja) * 2006-05-25 2007-12-06 Internatl Business Mach Corp <Ibm> 貴金属ライナとこれに隣接する誘電材料間の付着性を向上させた相互接続構造およびその製造方法(金属/誘電体界面のための付着性向上)
KR100984195B1 (ko) * 2007-02-15 2010-09-28 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 유전체 필름의 물성을 강화하기 위한 활성 화학 공정
KR20150035509A (ko) * 2012-07-13 2015-04-06 어플라이드 머티어리얼스, 인코포레이티드 다공성 저-k 막의 유전 상수를 감소시키기 위한 방법
JP2015529011A (ja) * 2012-07-13 2015-10-01 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 多孔性低誘電率膜の誘電率を低減させる方法
KR102109482B1 (ko) 2012-07-13 2020-05-12 어플라이드 머티어리얼스, 인코포레이티드 다공성 저-k 막의 유전 상수를 감소시키기 위한 방법

Also Published As

Publication number Publication date
US6348407B1 (en) 2002-02-19
SG120056A1 (en) 2006-03-28
TWI244158B (en) 2005-11-21

Similar Documents

Publication Publication Date Title
JP2002324838A (ja) デュアルダマシン相互接続における有機物誘電体の密着性を改良する方法
KR100878170B1 (ko) 실리콘카바이드층의 이중 주파수 플라즈마 화학기상증착
US7622380B1 (en) Method of improving adhesion between two dielectric films
US6831005B1 (en) Electron beam process during damascene processing
KR100670966B1 (ko) 반도체 장치의 제조 방법
US6583047B2 (en) Method for eliminating reaction between photoresist and OSG
JP3196203B2 (ja) 半導体素子の形成方法
US8183166B2 (en) Dielectric layer structure and manufacturing method thereof
US6566283B1 (en) Silane treatment of low dielectric constant materials in semiconductor device manufacturing
US20080166870A1 (en) Fabrication of Interconnect Structures
US20070232048A1 (en) Damascene interconnection having a SiCOH low k layer
US6566264B1 (en) Method for forming an opening in a semiconductor device substrate
US6498399B2 (en) Low dielectric-constant dielectric for etchstop in dual damascene backend of integrated circuits
US20070232046A1 (en) Damascene interconnection having porous low K layer with improved mechanical properties
JP3173426B2 (ja) シリカ絶縁膜の製造方法及び半導体装置の製造方法
TW471134B (en) Manufacturing method for multilevel interconnects
US20070222076A1 (en) Single or dual damascene structure reducing or eliminating the formation of micro-trenches arising from lithographic misalignment
US20070249164A1 (en) Method of fabricating an interconnect structure
US7300868B2 (en) Damascene interconnection having porous low k layer with a hard mask reduced in thickness
TWI229918B (en) Method of forming an inter-metal dielectric layer in an interconnect structure
TWI235455B (en) Method for manufacturing semiconductor device
US20060166491A1 (en) Dual damascene interconnection having low k layer and cap layer formed in a common PECVD process
JPH11233630A (ja) 半導体装置の製造方法およびこれを用いた半導体装置
TW413899B (en) Manufacturing process of unlanded via
TW550748B (en) Method of forming dual damascene structure

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20040921

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080616

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20081107