TW550748B - Method of forming dual damascene structure - Google Patents

Method of forming dual damascene structure Download PDF

Info

Publication number
TW550748B
TW550748B TW91116817A TW91116817A TW550748B TW 550748 B TW550748 B TW 550748B TW 91116817 A TW91116817 A TW 91116817A TW 91116817 A TW91116817 A TW 91116817A TW 550748 B TW550748 B TW 550748B
Authority
TW
Taiwan
Prior art keywords
layer
forming
scope
item
dielectric
Prior art date
Application number
TW91116817A
Other languages
Chinese (zh)
Inventor
Shyh-Dar Lee
Original Assignee
Silicon Integrated Sys Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Silicon Integrated Sys Corp filed Critical Silicon Integrated Sys Corp
Priority to TW91116817A priority Critical patent/TW550748B/en
Application granted granted Critical
Publication of TW550748B publication Critical patent/TW550748B/en

Links

Abstract

This invention discloses a dual damascene structure formation method. Firstly, a substrate is provided with a dielectric layer formed thereon. Then, a cap layer and a mask layer with at least one trench pattern are formed sequentially on the dielectric layer. Subsequently, a resist layer with at least via hole pattern is formed on the mask layer and the cap layer, in which the via hole pattern corresponds to the trench pattern. The via hole pattern is transferred to the cap layer and the upper portion of the dielectric layer and the resist layer is removed. Then, the trench pattern is transferred to the cap layer and the upper half of the dielectric layer and concurrently the via hole pattern is transferred to the lower half of the dielectric layer. Finally, a conductor layer is filled into the trench and via hole of the dielectric layer.

Description

550748 五、發明說明(1) 發明領域 本發明係有關於一種半導 別是有關於一種开彡杰雔γ t ί積體電路之製造方法,特 化。 種开/成雙鑲嵌結構之方法,以防止光阻毒子 相關技術說明: 作速當多的時間於致力發展高操 術迅速發展以增力:積體::之;程技 置的尺寸縮小將使得令麗道的清形下導體裝 應的問題變得更:m的電阻值上升,,生電容效 度。為了降降低金屬導線中的電流迷 電阻值的㈣^ 電容效應,金屬導線係採用低 低介電"。。w k)材料金屬導線之間的絕緣層係採用 值之係發展出使用低介電常數之介電層及低電阻 可靠度、低:ί 嵌製程’以在積體電路裝置中製作高 2合!lamd圖說明—f知形成雙錢結構方2 ^ ^ .,凊參照第1 a圖,提供一基底1 〇 〇,例如一半導 介i ΐ數ϊ ΐ屏底100上依序形成一封蓋層1 〇2及-低 ^ 枓層1〇4。然後,在低介電常數材料層ι〇4上形 成,、有複數溝槽開口 1 06a之罩幕層1〇6。 接下來,請參照第lb圖,在罩幕層1〇6上塗覆一層光 阻,1 0 8,並填滿溝槽開口丨〇 6 a。接著,對光阻層1 〇 8實施 一微影步驟,藉以在罩幕層1〇6及介電層1〇4上形成具有複550748 V. Description of the invention (1) Field of the invention The present invention relates to a semiconductor, and in particular, to a method for manufacturing an open circuit circuit and a specialization thereof. A method to open / double the mosaic structure to prevent phototoxin-related technology Description: Quickly and more time is devoted to the development of high-tech operations and rapid development to increase strength: Buildup :: zhi; Chengjizhi's size has been reduced Will make Lidao's clear-shaped conductor assembly problem becomes more: the resistance value of m rises, and the capacitance is effective. In order to reduce the capacitance effect of the current resistance in the metal wire, the metal wire system uses a low dielectric constant. . wk) The insulation layer between the metal and metal wires is based on the value system. The dielectric layer with a low dielectric constant and low resistance and low reliability are developed: ίEmbedded process' to make a high 2 in a integrated circuit device! Explanation of the lamd diagram—f knowing the formation of a double-money structure 2 ^ ^. 凊 Refer to Figure 1 a, and provide a substrate 100, such as half of the mediator i ΐ number ϊ ΐ a cover layer is sequentially formed on the screen bottom 100 〇2 and -low 枓 layer 104. Then, a mask layer 106 is formed on the low-dielectric-constant material layer ι04 with a plurality of trench openings 106a. Next, referring to Figure lb, apply a layer of photoresist on the mask layer 106, 108, and fill the trench openings 6a. Next, a photolithography step is performed on the photoresist layer 108 to form a photoresist layer on the mask layer 106 and the dielectric layer 104.

0702-7942TWfiNVQiDi7. 第4頁 550748 五、發明說明(2) 數介層洞(v i a )開口 1 〇8a之光阻圖案層1 08。其中,介居 洞開口 108a的位置係對應於下方罩幕層1〇6之溝槽開口;1曰 l、〇6a。然而,此處由於介電層1〇4中的氨(amin〇 )而造 光阻毒化,使得光阻圖案層丨〇8的側壁輪廓(pr〇f丨 ^ 佳。 J不 接下來,請參照第1 c圖,利用光阻圖案層丨〇 8作為罩 幕’對介電層1 0 4實施一非等向性蝕刻,而將介層洞圖 轉移至介電層104的上半部。 ” 最後,請參照第1 d圖,剝除光阻圖案層丨〇 8。接著, 利用具有溝槽開口 l〇6a之罩幕層1〇6來蝕刻介電層丨〇4,且 利用封蓋層1 02作為蝕刻終止層,而將溝槽圖案轉移至介 電層104的上半部。同時,也將介電層1〇4上半部介層洞圖 案轉移至介電層104的下半部。形成於介電層1〇4中的样 l〇4b及介層洞1〇 4a係構成雙鑲嵌結構。之後,將位於介^ 洞l〇4a下方的封蓋層102去除,以露出基底1〇〇表面。、由^ 受到上述光阻秦化的影響,雙鑲嵌結構中的介層洞輪廓不 佳而降低積體電路裝置之可靠度。 發明概述: 槿之目的在於提供-種形成雙鑲叙結 構之方法,其猎由在介電層上額外形成一上蓋層,以 光阻直接接觸到介電層而防止光阻毒化。 % 本發明之另一目的在於提供_絲 #…, 夕士 a甘$ a w二、 仏種一種形成雙鑲嵌結構 之方法,,精由形成一作為抗反射層(anti_refiective layer,ARL)之上蓋層,以改善雙鑲喪結構之輪靡。0702-7942TWfiNVQiDi7. Page 4 550748 V. Description of the invention (2) Photoresist pattern layer 1 08 of the number via (v i a) opening 1 08a. Among them, the location of the mesial hole opening 108a corresponds to the trench opening of the lower mask layer 10; 1a and 0a. However, the photoresist poisoning is caused by the ammonia (amin〇) in the dielectric layer 104, so that the sidewall profile (pr0f) of the photoresist pattern layer 丨 〇8 is better. J 不 Next, please refer to Fig. 1c, using the photoresist pattern layer 008 as a mask, to perform an anisotropic etching on the dielectric layer 104, and transfer the hole pattern of the dielectric layer to the upper half of the dielectric layer 104. " Finally, referring to Figure 1d, strip the photoresist pattern layer. 08. Next, use the mask layer 10 with a trench opening 106a to etch the dielectric layer 04 and use a capping layer. 102 is used as an etch stop layer, and the trench pattern is transferred to the upper half of the dielectric layer 104. At the same time, the hole pattern of the upper half of the dielectric layer 104 is also transferred to the lower half of the dielectric layer 104. The sample 104b and the dielectric hole 104a formed in the dielectric layer 104 constitute a dual damascene structure. After that, the capping layer 102 located under the dielectric hole 104a is removed to expose the substrate 1 〇〇 the surface. ^ Under the influence of the photoresist Qinhua, the via hole profile in the dual damascene structure is not good, which reduces the reliability of the integrated circuit device. Summary of the Invention: Hibiscus The purpose is to provide a method for forming a double mosaic structure, which comprises forming an additional capping layer on the dielectric layer to directly contact the dielectric layer with the photoresist to prevent photoresist poisoning.% Another object of the present invention It is to provide _ 丝 # ..., Xi Shi a Gan $ aw Second, a method of forming a dual mosaic structure, the formation of an anti-refiective layer (ARL) as a cap layer to improve the dual mosaic structure The round is overwhelming.

550748 五、發明說明(3) 根據上述之目的,本發明提供一種形成雙鑲嵌結構之 方法。首先,提供一基底,其上具有一介電層。接著,依 序在介電層上形成一上蓋層及一具有至少一溝槽圖案之罩 幕層。之後’在罩幕層及上蓋層上形成一具有至少一介層 /同圖案之光阻層,且介層洞圖案之位置係對應於溝槽圖案 。接下來,將介層洞圖案轉移至上蓋層及介電層之上半部 ’且接著去除光阻層。接下來,將溝槽圖案轉移至上蓋層 及介電層之上半部,且同時將介層洞圖案轉移至介電層之 下半部。最後,在介電層之溝槽及介層洞中填入一導電 層。 較佳實施例之詳細說明: 以下配合第2 a到2 f圖圖說明本發明實施例之形成雙鑲 敢結構之方法。首先,請參照第2a圖,提供一基底2〇 〇, 例如一半導體基底,基底2〇〇中具有複數金屬導線201,例 如銅導線。接著,在基底2〇〇上形成一封蓋層202。之後, 在封蓋層202上沉積一作為金屬層間介電層(intermetal dielectric, IMD)之介電層2〇4。此處,封蓋層202係用 以防止金屬導線2 0 1接觸空氣而造成氧化,以及防止金屬 導線201中的原子/離子擴散進入介電層2〇4而造成漏電流 或不良的電性接觸。在本實施例中,封蓋層2 〇 2所使用的 材質最好疋氮化矽(S i N )或碳化矽(s丨c )。另外,介電 層204所使用的材質最好是低介電常數材料,例如BD@、550748 V. Description of the invention (3) According to the above object, the present invention provides a method for forming a dual mosaic structure. First, a substrate is provided with a dielectric layer thereon. Next, a cap layer and a mask layer having at least one trench pattern are sequentially formed on the dielectric layer. After that, a photoresist layer having at least one interlayer / same pattern is formed on the cover layer and the upper cover layer, and the position of the interlayer hole pattern corresponds to the trench pattern. Next, the via hole pattern is transferred to the upper cap layer and the upper half of the dielectric layer ′ and then the photoresist layer is removed. Next, the trench pattern is transferred to the upper half of the cap layer and the dielectric layer, and the hole pattern of the dielectric layer is transferred to the lower half of the dielectric layer at the same time. Finally, a trench is filled in the dielectric layer with a conductive layer. Detailed description of the preferred embodiment: The method of forming a double damascene structure according to the embodiment of the present invention will be described below with reference to Figures 2a to 2f. First, referring to FIG. 2a, a substrate 200 is provided, such as a semiconductor substrate, and the substrate 200 has a plurality of metal wires 201, such as copper wires. Next, a cap layer 202 is formed on the substrate 200. Thereafter, a dielectric layer 204 is deposited on the capping layer 202 as an intermetal dielectric (IMD). Here, the capping layer 202 is used to prevent the metal wire 201 from being exposed to air to cause oxidation, and to prevent the atoms / ions in the metal wire 201 from diffusing into the dielectric layer 204 and causing leakage current or poor electrical contact. . In this embodiment, the material used for the capping layer 202 is preferably silicon nitride (S i N) or silicon carbide (s 丨 c). In addition, the material used for the dielectric layer 204 is preferably a low dielectric constant material, such as BD @,

Coral® 'Aurora® 及 GreenDot® 〇 之後,在介電層204上依序形成一上蓋層2〇6、一罩幕After Coral® 'Aurora® and GreenDot® 〇, a cap layer 206 and a mask are sequentially formed on the dielectric layer 204.

0702-7942TWf(N);91P17;spin.ptd0702-7942TWf (N); 91P17; spin.ptd

第6頁 550748 五、發明說明(4) 層208及一光阻層212。在本實施例中,上蓋層206所使用 的材質可以是未^換雜碎玻璃(undoped silicate glass, USG)、碳化石夕或氟化矽(SiF),且其厚度在300到1500 埃的範圍。此上蓋層204可藉由化學氣相沉積(chemical vapor deposit i on,CVD )法來形成,其中係利用矽烷 (Si H4)或四乙基石夕酸鹽(tetraethyl ortho silicate, TEOS )作為反應氣體。再者,罩幕層2〇8係在雙鑲嵌製程 中用以定義溝槽圖案,其較佳的材質為氮化矽且厚度在 8 0 0到1 2 0 0埃的範圍。另外,可選擇性地在罩幕層2 〇 8上形 成一厚度在2 0 0到4 0 0埃的範圍之抗反射層 (anti-ref lect ive layer,ARL ) 21〇,其較佳的材質為 氮氧化矽(Si ON )。 接下來,清參照第2b圖,對光阻層2丨2實施一微影步 驟,以在光阻層212中形成複數溝槽開口212a。隨後,以 ,^化的光阻層21 2作為罩幕,非等向性钱刻抗反射層2 j ,、下方的罩幕層208至露出作為蝕刻終止層的上蓋層 206。如此一來,便將溝槽開口圖案轉移至罩幕層2〇8。 光阻声2^2來’:參照第&圖,利用習知之光阻剝除法去商 f阻層212,例如氧電漿(02 Plasma)灰化法。之後,在 抗反射層210上塗覆另一氺阳s〇1 / 2 之俊在 接下爽,n μ覆另先阻層214並填滿溝槽開口 212a。 接下來,同樣對光阻層214實 射層210及上蓋声2〇fi卜擗a目士儆〜乂驟,猎以在抗反 ma之光阻圖荦曰:上二具有人複數介層洞(…)開口 對應於下方抗2 :21丄介層洞開口214a的位置係 射曰10及軍幕層2〇8之溝槽開口 212a。 550748Page 6 550748 V. Description of the invention (4) Layer 208 and a photoresist layer 212. In this embodiment, the material used for the cap layer 206 may be unoped silicate glass (USG), carbonized carbide or silicon fluoride (SiF), and its thickness is in the range of 300 to 1500 angstroms. The cap layer 204 may be formed by a chemical vapor deposition (CVD) method, in which a silane (Si H4) or a tetraethyl ortho silicate (TEOS) is used as a reaction gas. Furthermore, the mask layer 208 is used to define the groove pattern in the dual damascene process. The preferred material is silicon nitride and the thickness is in the range of 800 to 12 Angstroms. In addition, an anti-reflective layer (ARL) 21, which has a thickness in the range of 2000 to 400 angstroms, can be selectively formed on the cover layer 208, and its preferred material is It is silicon oxynitride (Si ON). Next, referring to FIG. 2b, a photolithography step is performed on the photoresist layer 2 丨 2 to form a plurality of trench openings 212a in the photoresist layer 212. Subsequently, the photoresist layer 21 2 is used as a mask, the anti-reflection layer 2 j is etched with an anisotropic coin, and the lower mask layer 208 is exposed to expose the upper cap layer 206 as an etching stop layer. In this way, the trench opening pattern is transferred to the mask layer 208. Photoresistive sound 2 ^ 2 ': Referring to the & diagram, the conventional photoresist stripping method is used to remove the f-resistance layer 212, such as an oxygen plasma (02 Plasma) ashing method. After that, another anti-reflective layer SiO 2/2 is coated on the anti-reflection layer 210, and then the first blocking layer 214 is covered by n μ to fill the trench opening 212 a. Next, the same is true for the photoresist layer 214 and the top cover layer 210. It is easy to find the photoresist pattern of the anti-reverse ma. The top two have multiple interlayer holes. The position of the (...) opening corresponding to the lower 2:21 interstitial hole opening 214a is the groove opening 212a of the shot 10 and the military curtain layer 208. 550748

接下,,請參照第2d圖,以圖案化的光阻層2i4作為 t幕’非等向性蝕刻介層洞開口 2Ha下方的上蓋層及 介電層2 04,以將介層洞圖案轉移至上蓋層2〇6及介電層 ^ 4之上半部。在本實施例中,由於上蓋層2 0 6係形成於罩 層208與介電層2〇4之間,光阻層214無法直接與介電層 204接觸’因此可防止光阻發生毒化現象。再者,由於本 發明之上蓋層206亦可作為一抗反射層,因此可進一步避 免駐波效應及反射刻痕等問題產生。 “接下來’請參照第2 e圖,同樣利用習知氧電漿灰化法 之光阻剝除法去除光阻層2丨4。此處,上蓋層2 〇 6可保護介 電層204免遭受氧電漿攻擊而損害。之後,利用上方覆蓋 有一抗反射層210之具有溝槽圖案之罩幕層2〇8以及作為蝕 刻終止層之封蓋層2〇2,對上蓋層206及其下方的介電層 204進行钱刻,以將溝槽圖案轉移至上蓋層2〇6及其下方的 介電層204之上半部。同時,原先介電層2〇4上半部之介層 洞圖案亦轉移至介電層2〇4之下半部。這些形成於介電層 2^4中的溝槽204 b及介層洞2〇4a係構成雙鑲嵌結構。接 著’去除位於介層洞204a下方的封蓋層2〇2以露出介層洞 204a下方的金屬導線2〇1。Next, please refer to FIG. 2d, using the patterned photoresist layer 2i4 as the t-screen 'anisotropic etching of the cap layer and the dielectric layer 204 under the opening 2Ha of the interlayer to transfer the pattern of the interlayer hole To the upper cap layer 206 and the upper half of the dielectric layer ^ 4. In this embodiment, since the capping layer 206 is formed between the capping layer 208 and the dielectric layer 204, the photoresist layer 214 cannot directly contact the dielectric layer 204 ', thereby preventing the photoresist from poisoning. Furthermore, since the cap layer 206 of the present invention can also be used as an anti-reflection layer, problems such as standing wave effects and reflection nicks can be further avoided. “Next, please refer to FIG. 2e, and also use the conventional photoresist stripping method of the oxygen plasma ashing method to remove the photoresist layer 2 丨 4. Here, the cap layer 206 can protect the dielectric layer 204 from suffering Oxygen plasma attack and damage. After that, the cover layer 208 with a groove pattern covering the top with an anti-reflection layer 210 and the cover layer 002 as an etch stop layer were used. The dielectric layer 204 is engraved to transfer the trench pattern to the upper cap layer 206 and the upper half of the dielectric layer 204 below. At the same time, the original hole pattern in the upper half of the dielectric layer 204 It is also transferred to the lower half of the dielectric layer 204. These trenches 204b and the dielectric hole 204a formed in the dielectric layer 2 ^ 4 form a dual damascene structure. Then 'remove the dielectric hole 204a' The lower capping layer 20 is used to expose the metal wire 201 under the via hole 204a.

最後’請參照第2 f圖,依序去除抗反射層2丨〇、罩幕 層208及上蓋層206以露出具有溝槽2〇4b及介層洞204a之介 電層204表面。接著,在介電層2〇4上及其溝槽2〇 4b及介層 洞204a侧壁順應性形成一金屬阻障層215。此處,金屬阻 障層2 1 5之材質最好是鈦(τ i )、鈕(Ta )、氮化鈦(T i NFinally, please refer to FIG. 2f, and sequentially remove the anti-reflection layer 20, the mask layer 208, and the cap layer 206 to expose the surface of the dielectric layer 204 having the trenches 204b and the vias 204a. Next, a metal barrier layer 215 is formed on the dielectric layer 204 and its trench 204b and the sidewall of the dielectric hole 204a. Here, the material of the metal barrier layer 2 1 5 is preferably titanium (τ i), button (Ta), or titanium nitride (T i N

550748 五、發明說明(6) )及氮化鈕(T a N )之任一種。同樣地,金屬阻障層2 1 5係 用以防止金屬(未繪示)中的原子/離子在後續實施金屬 化製程後,擴散至介電層2 〇 4而造成不良的電性接觸或漏 電流。之後,在介電層2 04上沉積一導電層2 1 6,例如銅金 屬層’並填滿其溝槽2〇4b及介層洞2 04a以與金屬導線2 01 電性接觸。接著,藉由化學機械研磨法(chemical mechanical polishing, CMP)來研磨介電層20 4上方多餘 的導電層216及金屬阻障層215以在介電層2〇4的溝槽2〇4b 及介層洞204a中形成内連線。 相較於習知形成雙鑲嵌結構的方法,本發明係形 ί觸額::上i層2°6來避主免介電層204與光阻層214相互 結構的輪廓。心,生進而改善雙鑲嵌 雖然本發明已以較佳實施例揭露如上, 叮罪度 本發明’任何㉟習此項技藝者,在非用以限定 範圍内,當可作更動與潤飾,因此離本發明之精神和 後附之申請專利範圍所界定者為準。明之保護範圍當視550748 V. Description of Invention (6)) and Nitriding Button (T a N). Similarly, the metal barrier layer 2 1 5 is used to prevent the atoms / ions in the metal (not shown) from diffusing to the dielectric layer 2 0 after the subsequent metallization process, resulting in poor electrical contact or leakage. Current. Thereafter, a conductive layer 2 1 6 is deposited on the dielectric layer 2 04, such as a copper metal layer 'and fills its trench 204b and the dielectric hole 2 04a to make electrical contact with the metal wire 2 01. Next, a chemical mechanical polishing (CMP) method is used to polish the excess conductive layer 216 and the metal barrier layer 215 over the dielectric layer 20 4 to form the grooves 204 b and the dielectric layer 204 in the dielectric layer 204. An interconnect is formed in the layer hole 204a. Compared with the conventional method for forming a dual-mosaic structure, the present invention is shaped as follows: the upper layer i is 2 ° 6 to avoid the outline of the mutual structure of the main and non-dielectric layer 204 and the photoresist layer 214. Mind, and then improve the double mosaic Although the present invention has been disclosed in the preferred embodiment as above, the present invention 'anyone who is skilled in this art, can be modified and retouched in a non-limited range, so The spirit of the present invention and the scope of the attached patent application shall prevail. The protection scope of Ming

550748 圖式簡單說明 為讓本發明之上述目的、特徵和優點能更明顯易懂, 下文特舉較佳實施例,並配合所附圖式,作詳細說明如 下: 第1 a到1 d圖係繪示出一習知形成雙鑲嵌結構方法之剖 面示意圖; 第2 a到2 f圖係繪示出根據本發明實施例之形成雙鑲嵌 結構方法之剖面示意圖。 [符號說明] 100、20 0〜基底; 102、202〜封蓋層; 104、204〜介電層; 104a、204a〜介層洞; 104b、2 04b〜溝槽; 106、20 8〜罩幕層; 108、212、214〜光阻層; 10 8a、214a〜介層洞開口; 2 0 1〜金屬導線; 20 6〜上蓋層; 2 1 0〜抗反射層; 21 2a〜溝槽開口; 2 1 5〜金屬阻障層; 21 6〜導電層。550748 Brief description of the drawings In order to make the above-mentioned objects, features, and advantages of the present invention more comprehensible, the preferred embodiments are described below in detail with the accompanying drawings as follows: Figures 1a to 1d A schematic cross-sectional view of a conventional method for forming a dual-mosaic structure is shown. Figures 2a to 2f are schematic cross-sectional views illustrating a method for forming a dual-mosaic structure according to an embodiment of the present invention. [Explanation of symbols] 100, 20 0 ~ substrate; 102, 202 ~ capping layer; 104, 204 ~ dielectric layer; 104a, 204a ~ via hole; 104b, 2 04b ~ trench; 106, 20 8 ~ mask 108, 212, 214 ~ photoresist layer; 10 8a, 214a ~ via hole opening; 2 01 ~ metal wire; 20 6 ~ cap layer; 2 1 0 ~ anti-reflection layer; 21 2a ~ trench opening; 2 1 5 ~ metal barrier layer; 21 6 ~ conductive layer.

0702-7942TWf(N) ;91P17;spin.ptd 第10頁0702-7942TWf (N); 91P17; spin.ptd page 10

Claims (1)

55〇748 、、申請:範圍 一 - 1 · 一種形成雙鑲嵌結構之方法,至少包括下列步驟: 提供一基底,該基底上具有一介電層; 在該介電層上形成一上蓋層; 爲在該上蓋層上方形成一具有至少一溝槽圖案之罩幕 案在該罩幕層及該上蓋層上形成一具有至少一介層洞圖 ^之光阻層,且該介層洞圖案之位置係對應於該溝槽圖 部 將該介層洞圖案轉移至該上蓋層及該介電層之上半 去除該光 將該溝槽 I同時將該介 在該介電 2·如申請 $ ’其中該基 曰之該介層洞 3 ·如申請 其中該金 4·如申請 其中該基 5 ·如申請 其中該封 6·如申請 法 法 法 阻層; 圖案轉移 層洞圖案 層之溝槽 至該上蓋層及該介電層之上半部, 轉移至該介電層之下半部;以及 及介層洞中填入一導電層。 專利範圍第1項所述之形成雙鑲嵌結構之方 金屬導線’該金屬導線位於該介電 底包含一 之下方。 專利範圍 屬導線係 專利範圍 底之該金 專利範圍 蓋層之材 專利範圍 第2項所述之形成雙鑲嵌結構之方 一銅金屬導線。 第2項所述之形成雙鑲嵌結構之方 屬導線上覆蓋有一封蓋層。 =4項所述之形成雙鑲嵌結構之方 質為氮化矽及碳化矽之任一種。 第1項所述之形成雙鑲嵌結構之方55〇748, Application: Scope I-1 · A method for forming a dual damascene structure, including at least the following steps: providing a substrate having a dielectric layer on the substrate; forming an upper capping layer on the dielectric layer; A mask with at least one groove pattern is formed over the upper cap layer. A photoresist layer having at least one interlayer hole pattern ^ is formed on the mask layer and the upper cap layer, and the position of the via pattern is Corresponding to the trench pattern, the hole pattern of the interlayer is transferred to the cap layer and the dielectric layer. The light is removed halfway. The trench I is simultaneously placed in the dielectric. Said the interlayer hole 3 · If you applied for the gold 4 · If you applied for the base 5 · If you applied for the seal 6 · If you applied for the law method resist layer; Pattern transfer layer hole pattern layer groove to the cap layer And the upper half of the dielectric layer is transferred to the lower half of the dielectric layer; and a conductive layer is filled in the dielectric hole. The square forming the double damascene structure described in item 1 of the patent scope. The metal wire is located below the dielectric bottom including one. The scope of the patent belongs to the wire system. The scope of the patent is the bottom. The scope of the patent. The material of the capping layer. The metal wires forming the dual damascene structure described in item 2 are covered with a capping layer. The method of forming the dual damascene structure described in item 4 is any one of silicon nitride and silicon carbide. The method of forming a dual mosaic structure described in item 1 0702-7942TWf(N);91P17;spin.ptd 第11頁 550748 六、申請專利範圍 法,其中該罩幕層包含一抗反射層。 法 7 ·如申請專利範圍第6項所述之形成雙鑲嵌結構之方 其中該抗反射層係一氮氧化矽層。 法 8 ·如申請專利範圍第1項所述之形成雙鑲嵌結構之方 更包括下列步驟: 去除4罩幕層及该上盡層以露出該具有溝槽及介層洞 之介電層表面;以及 在該介電層上及其溝槽與介層洞表面順應性形成一金 屬阻障層。 、9 ·如申請專利範圍第8項所述之形成雙鑲嵌結構之方 法’其中該金屬阻障層係由鈦、鈕、氮化鈦及氮化鈕之任 一種所構成。 、、丨〇·如申請專利範圍第1項所述之形成雙鑲嵌結構之方 法’其中該介電層係一低介電常數材料層。 、、u ·如申請+專利範圍第1項所述之形成雙鑲嵌結構之方 法,其中该上蓋層係由未摻雜矽玻璃、碳化矽及氟化矽 任一種所構成。 、12.如申請專利範圍第n項所述之形成雙鑲嵌結構之 f法,其中藉由化學氣相沉積法形成該上 且使用 作為反應氣體。 ^ 13. 如申請專利範圍第n項所述之形成雙鑲嵌結構之 =法,其中藉由化學氣相沉積法形成該上蓋層且使用四 基矽酸鹽作為反應氣體。 14. 如申請專利範圍第n項所述之形成雙鑲嵌結構之0702-7942TWf (N); 91P17; spin.ptd page 11 550748 6. Patent application method, wherein the cover layer includes an anti-reflection layer. Method 7: The method of forming a dual damascene structure as described in item 6 of the scope of the patent application, wherein the anti-reflection layer is a silicon oxynitride layer. Method 8: The method of forming a dual damascene structure as described in item 1 of the scope of the patent application further includes the following steps: removing the 4 mask layer and the top layer to expose the surface of the dielectric layer having the trench and the via hole; And a metal barrier layer is formed on the dielectric layer and its trench conforms to the surface of the dielectric hole. 9. Method of forming a dual damascene structure as described in item 8 of the scope of the patent application, wherein the metal barrier layer is composed of any one of titanium, button, titanium nitride, and nitride button. The method of forming a dual damascene structure as described in item 1 of the scope of the patent application, wherein the dielectric layer is a low dielectric constant material layer. , U · The method for forming a dual damascene structure as described in item 1 of the application + patent scope, wherein the cap layer is composed of any one of undoped silica glass, silicon carbide and silicon fluoride. 12. The f method for forming a dual damascene structure as described in item n of the scope of the patent application, wherein the method is formed by a chemical vapor deposition method and is used as a reaction gas. ^ 13. The method of forming a dual damascene structure as described in item n of the scope of the patent application, wherein the cap layer is formed by a chemical vapor deposition method and tetrabasic silicate is used as a reaction gas. 14. Forming a dual mosaic structure as described in item n of the scope of patent application 550748 六、申請專利範圍 方法,其中該上蓋層之厚度在3 0 0到1 5 0 0埃的範圍。 1 5.如申請專利範圍第1項所述之形成雙鑲嵌結構之方 法,其中該罩幕層係一氮化石夕層。 1 6 ·如申請專利範圍第1項所述之形成雙鑲嵌結構之方 法,其中該導電層係一銅金屬層。550748 6. Method of applying for a patent, wherein the thickness of the cap layer is in the range of 300 to 15 Angstroms. 1 5. The method for forming a dual mosaic structure according to item 1 of the scope of the patent application, wherein the mask layer is a nitrided layer. 16 · The method of forming a dual damascene structure as described in item 1 of the scope of patent application, wherein the conductive layer is a copper metal layer. 0702-7942TWf(N);91P17;spin.ptd 第13頁0702-7942TWf (N); 91P17; spin.ptd Page 13
TW91116817A 2002-07-26 2002-07-26 Method of forming dual damascene structure TW550748B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
TW91116817A TW550748B (en) 2002-07-26 2002-07-26 Method of forming dual damascene structure

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW91116817A TW550748B (en) 2002-07-26 2002-07-26 Method of forming dual damascene structure

Publications (1)

Publication Number Publication Date
TW550748B true TW550748B (en) 2003-09-01

Family

ID=31713586

Family Applications (1)

Application Number Title Priority Date Filing Date
TW91116817A TW550748B (en) 2002-07-26 2002-07-26 Method of forming dual damascene structure

Country Status (1)

Country Link
TW (1) TW550748B (en)

Similar Documents

Publication Publication Date Title
CN100399542C (en) Interconnect structure and method of forming the same
US6403461B1 (en) Method to reduce capacitance between metal lines
US6380084B1 (en) Method to form high performance copper damascene interconnects by de-coupling via and metal line filling
US7176571B2 (en) Nitride barrier layer to prevent metal (Cu) leakage issue in a dual damascene structure
US20050191851A1 (en) Barrier metal cap structure on copper lines and vias
JP2002324838A (en) Method for improving adhesion of organic dielectric in dual damascene mutual connection
US8212330B2 (en) Process for improving the reliability of interconnect structures and resulting structure
US6495448B1 (en) Dual damascene process
US6753260B1 (en) Composite etching stop in semiconductor process integration
US9870944B2 (en) Back-end-of-line (BEOL) interconnect structure
US20070249164A1 (en) Method of fabricating an interconnect structure
TWI236094B (en) Method for forming multi-layer metal line of semiconductor device
TWI229918B (en) Method of forming an inter-metal dielectric layer in an interconnect structure
US6465345B1 (en) Prevention of inter-channel current leakage in semiconductors
US8084357B2 (en) Method for manufacturing a dual damascene opening comprising a trench opening and a via opening
TWI235455B (en) Method for manufacturing semiconductor device
TW550748B (en) Method of forming dual damascene structure
US7015149B2 (en) Simplified dual damascene process
KR100399909B1 (en) Method of forming inter-metal dielectric in a semiconductor device
TW201705360A (en) Conductive plug and method of forming the same
KR100866688B1 (en) Method for forming via hole of semiconductor device
KR100602132B1 (en) Method for fabricating dual damascene pattern
KR101098920B1 (en) Method for manufacturing semicondoctor device
TW413899B (en) Manufacturing process of unlanded via
TW582093B (en) Method for forming a damascene structure

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MK4A Expiration of patent term of an invention patent