TW582093B - Method for forming a damascene structure - Google Patents

Method for forming a damascene structure Download PDF

Info

Publication number
TW582093B
TW582093B TW92105080A TW92105080A TW582093B TW 582093 B TW582093 B TW 582093B TW 92105080 A TW92105080 A TW 92105080A TW 92105080 A TW92105080 A TW 92105080A TW 582093 B TW582093 B TW 582093B
Authority
TW
Taiwan
Prior art keywords
layer
forming
patent application
scope
item
Prior art date
Application number
TW92105080A
Other languages
Chinese (zh)
Other versions
TW200418136A (en
Inventor
Tzu-Kun Ku
Chia-Yang Wu
Original Assignee
Silicon Integrated Sys Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Silicon Integrated Sys Corp filed Critical Silicon Integrated Sys Corp
Priority to TW92105080A priority Critical patent/TW582093B/en
Application granted granted Critical
Publication of TW582093B publication Critical patent/TW582093B/en
Publication of TW200418136A publication Critical patent/TW200418136A/en

Links

Landscapes

  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

A method for forming a damascene structure. An insulating layer is deposited on a substrate. A capping layer and a hard mask layer are successively formed on the insulating layer. Subsequently, the hard mask layer is etched to form at least one opening using the capping layer as an etching stop layer. A conformable metal layer is formed over the hard mask layer and the surface of the opening, and the metal layer is then anisotropically etched to form a metal spacer over the sidewall of the opening. Next, the capping layer and the underlying insulating layer under the opening are etched to form a trench therein. Next, the hard mask layer and the metal spacer are removed. Finally, the trench is filled with the conductive layer to complete the structure after the substrate is cleaned.

Description

582093582093

發明所屬之領域: 本發明係有關於一種積體電 是有關於一種利用鑲嵌製程形成 別 路結構之製造方法,特 内連線之方法。 先前技術: 在積體電路的製造中,常採夕 置中各個區域或是積體電路中的各:二導線結構來連接裝 鑲嵌技術成為形成上述導線結裝置。就現今而言, 已廣泛地應用於半導體工業。 種非常有用之方式並 # 鑲嵌製程係一種内連線之製造程 緣中形成溝槽,之後再將全Μ 序其中,先於一絕 步了解本發明之背景,以下崎人斤,成導線層為進一 Μ # ^ μ ^ ^ .X ,、 下配曰弟1 a到1 d圖說明習知形成 二:如一 Λ。首先,請參照第13圖^ 菩/其 石日日圓,其具有金屬導線層102形成於内。接 上形成一封蓋層104,例如氮化矽層,以覆 =導線層102。之後,在封蓋層1〇4上依序沉積一金: 二 Fs ’| 電層(lntermetal dielectric, imd)i〇6 及—上 蓋層108。金屬層間介電層106可由低介電常數(i〇w k) 7料層所構成,例如旋塗式玻璃(SOG)、摻氟的二氧化 石夕(FSG )、含氫矽酸鹽(HSQ )、摻氟的聚芳烯醚 jFLARE )、及芳香族碳氫化合物(siLK )等。另外,上 蓋層1 0 8係用於保護金屬層間介電層1 0 6,其可由氧化矽所 構成]接著’在上蓋層1 08上方形成一硬式罩幕層11 0,例 如一氮化石夕層’並於其上形成具有溝槽圖案之光阻層1Field of the Invention: The present invention relates to an integrated circuit and a manufacturing method for forming a separate structure by using a damascene process, particularly a method for interconnecting. Prior technology: In the manufacture of integrated circuits, each area of the integrated circuit or each of the integrated circuits: a two-conductor structure to connect and mount the mosaic technology to form the above-mentioned wire junction device. As of today, it has been widely used in the semiconductor industry. This is a very useful way. # The inlay process is a method of forming grooves in the edge of the manufacturing process of the interconnect. Then the whole sequence is sequenced. Before understanding the background of the present invention, the following steps are used to form a wire layer In order to enter a M # ^ μ ^ ^ .X, the following figures 1 a to 1 d illustrate the conventional formation of two: such as a Λ. First, please refer to FIG. 13 ^ Bo / Qi Japanese Yen, which has a metal wire layer 102 formed therein. A capping layer 104, such as a silicon nitride layer, is then formed to cover the wiring layer 102. Thereafter, one gold is sequentially deposited on the capping layer 104: two Fs' | electric layers (lntermetal dielectric, imd) 106 and-the capping layer 108. The interlayer dielectric layer 106 may be composed of a low dielectric constant (iowk) layer, such as spin-on-glass (SOG), fluorine-doped dioxide (FSG), and hydrogen-containing silicate (HSQ). , Fluorine-doped polyarylene ether jFLARE), and aromatic hydrocarbons (siLK). In addition, the upper cap layer 108 is used to protect the metal interlayer dielectric layer 106, which may be composed of silicon oxide.] Then, a hard mask layer 110 is formed over the upper cap layer 108, such as a nitrided layer. 'And a photoresist layer 1 having a groove pattern is formed thereon

582093 五、發明說明(2) 112以,光阻層112作為罩幕來非等向性蝕刻硬式罩幕層 1 1 〇,而在其中形成開口 1 1 4圖案v 接下來,請參照第丨b圖,在剝除光阻層丨丨2之後, 由反應離子蝕刻(reactive i〇n时吡丨叫,rie)去除開 口114下方露出的上蓋層1〇8以露出絕緣層i〇6表面。 入地,由於硬式罩幕層110與上蓋層108及金屬層間 μ電層1〇6之間的蝕刻選擇比較差,導致硬式罩幕層11〇逐 = iHa!Ted),如第1b圖所示。當利用逐漸細化的 時,其形成傾斜之輪廊(proflle)。亦即,不希H1 的溝槽U6關鍵圖形尺寸(critlcal dimensi〇n,⑶)f j 造成凡件的電特性改變。圖中虛線所包圍之區 望得到之硬式罩幕層110輪廓。< 衣不希 接下來,請參照第1C圖,在去除逐漸細化的硬式 層no之後’上蓋層108同時也會損失,特別是在較密集: 溝槽11 6之間的區域π 7。 /、勺 / 最後’ §青參照第1 d圖’藉由感應輪合電衆 (inductively coupled plasma,Icp )製程K(例如, 離子濺射蝕刻)來實施一標準的預清潔步驟,以去除$ 氧化層或聚合物殘留物(未繪示)。接著,在上蓋層/〇8 上方形成一導電層,例如銅金屬層,並填入溝槽丨丨6S中。 一般而言,在填入導電層之前,會先在上蓋層丨0〇8上方及 溝槽116表面順應性形成-阻障層(未綠示),例如氮化 鈦(TJ) |或氮化组(TaN) 之後,藉由化學機械研582093 V. Description of the invention (2) 112 The photoresist layer 112 is used as a mask to anisotropically etch the hard mask layer 1 1 0, and an opening 1 1 4 pattern is formed therein. Next, please refer to section 丨 b. In the figure, after the photoresist layer 2 is stripped, the upper cap layer 108 exposed under the opening 114 is removed by reactive ion etching (reactive ion), to expose the surface of the insulating layer 106. Into the ground, due to the poor choice of etching between the hard cover curtain layer 110 and the upper cover layer 108 and the μ-electric layer 10 between the metal layers, the hard cover curtain layer 110 (= iHa! Ted), as shown in Figure 1b . When using progressive refinement, it forms a sloped proflle. That is, the critical pattern dimension (critlcal dimension (CD) f) of the trench U6 of H1 does not change the electrical characteristics of all parts. The outline of the hard mask layer 110 can be seen in the area enclosed by the dotted line in the figure. < Inappropriateness Next, referring to FIG. 1C, after removing the gradually thinned hard layer no, the top cover layer 108 is also lost, especially in denser areas: the area π 7 between the grooves 11 6. / 、 Scoop / Finally, '§Refer to Figure 1dd' to implement a standard pre-cleaning step by inductively coupled plasma (Icp) process K (for example, ion sputtering etching) to remove $ Oxide or polymer residue (not shown). Next, a conductive layer, such as a copper metal layer, is formed over the cap layer / 08, and filled in the trench 6S. Generally speaking, before filling the conductive layer, a barrier layer (not shown in green) is formed on the top cap layer 008 and the surface of the trench 116 in compliance, such as titanium nitride (TJ) or nitride. Group (TaN)

582093 五、發明說明(3) 磨(chemical mechanical polishing,CMP)去除上蓋層 1 0 8上方多餘的導電層及阻卩早層以形成鑲喪結構11 8。然 而,位於溝槽11 6之間部分損失的上蓋層丨〇 8上方區域於 CMP製程之後’形成金屬架橋(br丨dging )丨2〇,降低元件 之可靠度。 為了解決上述之問題,有人建議使用金屬硬式罩幕, 例如使用氮化鈦或氮化鈕等阻障材料,藉以增加其與上蓋 層及金屬層間介電層之間的钱刻選擇比。如此的確可獲得 具有垂直輪廊之溝槽。不幸地,於預清潔期間,硬式罩幕 中的欽原子或是I旦原子會被氬離子濺射出並沉積於j cp反 應室内壁,造成ICP反應室失效而無法使用。 發明内容: 有鑑於此,本發明之目的'在於提供一種形成鑲嵌結構 之方法,以避免低介電材料層受到不當之蝕刻,藉以防止 關鍵圖形尺寸(CD)改變或發生金屬架橋(bridgi 進而提升元件之可靠度。 本發明之另一目的在於提供一種形成鑲嵌結構之方 法,以避免在預清潔程序之後,造成感應耦合電漿(icp )餘刻反應室失效。 根據上述之目的,本發明 法。首先,在一基底上沉積一 形成一上蓋層及一硬式罩幕層 餘刻終止層來#刻硬式罩幕層 提供一種形成鑲嵌結構之方 絕緣層,再在絕緣層上依序 〔接著,藉由上蓋層作為一 以形成至少一開口。接著,582093 V. Description of the invention (3) Chemical mechanical polishing (CMP) removes the excess conductive layer and the early resistive layer above the upper cap layer 108 to form a mosaic structure 11 8. However, the part of the upper cap layer located between the trenches 116 is partially lost after the CMP process, and a metal bridging is formed to reduce the reliability of the device. In order to solve the above problems, it has been suggested to use a metal hard mask, for example, a barrier material such as titanium nitride or a nitride button, so as to increase the selection ratio between the top layer and the dielectric layer between the metal layers. It is indeed possible to obtain trenches with vertical contours. Unfortunately, during the pre-cleaning process, the chimium atoms or denier atoms in the hard mask are sputtered by argon ions and deposited on the inner wall of the j cp reaction chamber, causing the ICP reaction chamber to fail and become unusable. SUMMARY OF THE INVENTION In view of this, the object of the present invention is to provide a method of forming a damascene structure to prevent the low dielectric material layer from being etched improperly, so as to prevent the key pattern size (CD) from changing or metal bridging (bridgi and then improving Reliability of components. Another object of the present invention is to provide a method for forming a mosaic structure to avoid the failure of an inductively coupled plasma (icp) reaction chamber after a pre-cleaning process. According to the aforementioned object, the method of the present invention Firstly, a top cover layer and a hard cover curtain layer are deposited on a substrate to form an end stop layer. The hard cover curtain layer provides a square insulation layer forming a mosaic structure, and then sequentially on the insulation layer [Next, At least one opening is formed by using the cap layer as one. Then,

582093 五、發明說明(4) 在硬式罩幕層 向性蝕刻金屬 後,蝕刻開口 槽,並接著去 感應耦合電漿 潔基底之後, 上述絕緣 蓋層可由未摻 所構成,而硬 再者’金 氮化1旦等阻障 圍。 為讓本發 下文特舉較佳 下: 上及開口表面順應性形成一金屬層,並非等 層,以在,口側壁形成一金屬間隙壁。之 下方之上盍層及其下方之絕緣層以形成一溝 除硬式罩幕層及金屬間隙壁。最後,藉由在 (I CP )反應室中實施氬離子濺射蝕刻以清 在溝槽中填入一導電層以形成鑲嵌結構。 層包含一低介電常數(low k)材料層。上 雜矽玻璃(imdoped silic〇n glass,USG) 式罩幕層可由氮化矽或碳化矽所構成。 屬間隙壁可由鋁金屬所構成或是由氮化鈦或 材料所構成,且其厚度在丨〇 〇到5 〇 〇埃的範 明之上述目的、特徵和優點能更明顯易懂, 實施例,並配合所附圖式,作詳細說明如 實施方式: 以下配合第2a到2e圖說明本發明實施例之形成鑲嵌結 構之f法。首先,請參照第仏圖,提供一基底2〇〇,例如 、矽晶圓,其中形成有金屬層2 〇 2。金屬層2 〇 2係作為下層 導線層且可由銅金屬或紹金屬所構成。接著,在基底2〇〇 上方形成一封蓋層2 0 4,例如氮化矽層,用以覆蓋金屬層 202 。 孤,曰 接著,藉由習知沉積技術,例如化學氣相沉積582093 V. Description of the invention (4) After the hard cover layer is etched metal, the opening grooves are etched, and then the induction coupling plasma is cleaned to clean the substrate. Nitrile barriers such as 1 denier. In order to make the present invention, the following special enumerations are preferred: A metal layer is formed on the upper surface and the surface of the opening in conformity, instead of being an equal layer, so that a metal gap is formed on the side wall of the mouth. The upper top layer and the lower insulating layer form a trench to remove the hard mask layer and the metal spacer. Finally, argon ion sputter etching is performed in the (I CP) reaction chamber to fill a trench with a conductive layer to form a damascene structure. The layer includes a layer of low dielectric constant (low k) material. The upper doped silicon glass (USG) -type mask layer may be composed of silicon nitride or silicon carbide. The metal partition wall may be composed of aluminum metal or titanium nitride or a material, and the thickness of the above-mentioned purpose, characteristics, and advantages of Fan Ming can be more clearly understood. Examples and With reference to the accompanying drawings, detailed descriptions are as follows: Embodiments: The f method of forming a mosaic structure according to an embodiment of the present invention is described below with reference to FIGS. 2a to 2e. First, please refer to the second figure to provide a substrate 200, such as a silicon wafer, in which a metal layer 202 is formed. The metal layer 2O2 serves as a lower conductor layer and may be composed of copper metal or metal. Next, a cover layer 204, such as a silicon nitride layer, is formed on the substrate 2000 to cover the metal layer 202. Solitary, then, by conventional deposition techniques such as chemical vapor deposition

0702-8996twf(nl) ; 91P63 ; SPIN.ptd 第9頁 5820930702-8996twf (nl); 91P63; SPIN.ptd page 9 582093

(chemical Vap〇r dep〇siti〇n,CVD),在基 的封蓋層204上形成一介電層2〇6。在本發明中:此介電層 20 6儀作為-金屬層間介電層(IMD)。此金屬層間介電^ 206可由一般的低介電常數〇〇w k)材料所構成,例如曰 SOG、HSQ、FSG、FLARE、SiLK、或黑鑽石(black(chemical Vapor DepoSitiOn, CVD), a dielectric layer 206 is formed on the base capping layer 204. In the present invention, the dielectric layer 206 is used as an intermetal dielectric layer (IMD). The interlayer dielectric ^ 206 may be composed of a general low dielectric constant material such as SOG, HSQ, FSG, FLARE, SiLK, or black diamond (black

diamond)。再者,較佳的金屬層間介電層2〇6 到1 0 0 00埃的範圍。 予又牡4UUU 接著,藉由習知沉積技術,例如CVI),在金屬層間介 電層206上方依序形成一上蓋層2〇8及一硬式罩幕層ϋ二 在本發明中,上蓋層2 0 8可由氧化矽所構成,例如未摻雜 ,氧化矽(USG),用以保護金屬層間介電層2〇6並作為後 續CMP製程之研磨終止層,其厚度在1〇()〇到15〇〇埃的範 圍。再者’硬式罩幕層2 1 0可由氮化矽或碳化矽所構成, 且其厚度在1 0 0 0到1 5 0 0埃的範圍。 隨後,在硬式罩幕層210上方形成一具有溝槽圖案之 光阻層2 1 4。另外,可選擇性地在光阻層2丨4與硬式罩幕層 210之間形成一抗反射層(anti-refiecti〇rl c〇ating, ARC ) 21 2,例如氮氧化矽,以降低駐波效應及光學鄰近效 應(optical proximity effect, ΟΡΕ)。接著,藉由反 應離子蝕刻(RI Ε )非等向性蝕刻上蓋層2 〇 8上方之抗反射 層212及其下方硬式罩幕層210,以在硬式罩幕層21〇中形' 成開口 2 1 6。 接下來’請參照第2 b到2 d圖,其會釋出本發明之關鍵 步驟。在第2b圖中,藉由氧電漿或適當溶劑去除光阻層diamond). Moreover, the preferred metal interlayer dielectric layer is in the range of 206 to 1000 Angstroms. Yu Youmu 4UUU Next, by a conventional deposition technique, such as CVI, an overlying layer 208 and a hard cover layer are sequentially formed over the interlayer dielectric layer 206. In the present invention, the overlying layer 2 0 8 can be composed of silicon oxide, such as undoped silicon oxide (USG), which is used to protect the interlayer dielectric layer 206 and serve as a polishing stop layer for subsequent CMP processes. Its thickness is between 10 and 15 〇〇ange range. Furthermore, the 'hard mask layer 2 10' may be composed of silicon nitride or silicon carbide, and its thickness is in the range of 100 to 15 Angstroms. Subsequently, a photoresist layer 2 1 4 having a trench pattern is formed over the hard mask layer 210. In addition, an anti-reflection layer (ARC) 21 2 such as silicon oxynitride can be selectively formed between the photoresist layer 2 and 4 and the hard mask layer 210 to reduce standing waves. Effect and optical proximity effect (OPPE). Next, the anti-reflection layer 212 above the upper cap layer 2 08 and the hard mask layer 210 below it are anisotropically etched by reactive ion etching (RI EE) to form an opening 2 in the hard mask layer 21〇. 1 6. Next ', please refer to Figures 2b to 2d, which will explain the key steps of the present invention. In Figure 2b, the photoresist layer is removed by an oxygen plasma or a suitable solvent.

0702-8996twf(nl) ; 91P63 ; SPIN.ptd 第 10 頁 582093 五、發明說明(6) --- 2 1 4。文到上蓋層2 〇 8的保護,介電層2 〇 6並不會受到損 :。接著,在抗反射層212上方及開口216表面順應性地形 屬層218。在本發明中,金屬層218之厚度在1000到 /矢的範圍,且其可由鋁金屬所構成或一般常用之阻障 2所構成,例如氮化鈦或氮化鈕。再者,可藉由物理氣 目儿積(physical vapor deposition,PVD)或 CVD 形成 金屬層2 1 8。較佳地,係藉由離子化物理氣相沉積 (ionized PVD, I-PVD)形成金屬層218。 接下來’凊參照第2 c圖,非等向性蝕刻金屬層2丨8, 例如使用反應離子蝕刻,以在每一開口 21 6側壁形成金屬 間,羞2 2 0。另外’若利用卜p 形成金屬層2 1 8,後續的 非等向性餘刻以形成金屬間隙壁之步驟可採用原位 (in-si tu )氬離子濺射蝕刻。如此一來,可減少製程步 驟而提升產能。 接下來’請參照第2 d圖,蝕刻開口 2 1 6下方之上蓋層 208及其工方之介電層2〇6,以在介電層2〇6中形成溝槽 222。在實施蝕刻程序之後,抗反射層212完全被去除且消 耗了部分的硬式罩幕層2 1 〇及金屬間隙壁2 2 〇。/ 相較習知習知技術,由於本發明中的金屬間隙壁2 2 〇 可於蝕刻期間保護硬式罩幕層2〗〇之側壁,因此可獲得具 有垂直輪廓的溝槽2 2 2而防止關鍵圖形尺寸(⑶)改變。 再者’上蓋層2 0 8亦於蝕刻期間同時受到硬式罩幕層2丨〇及 金屬間隙壁220的保護,而有利於後續CMp製程的進行。 最後’請參照第2e圖,去除硬式罩幕層21〇,並同時 m ι· m 1 1 0702-8996twf(nl) ; 91P63 ; SPIN.ptd Z ----1 5820930702-8996twf (nl); 91P63; SPIN.ptd page 10 582093 V. Description of the invention (6) --- 2 1 4 The text is protected by the cover layer 208, and the dielectric layer 206 is not damaged :. Next, a conformable terrain layer 218 is formed over the anti-reflection layer 212 and on the surface of the opening 216. In the present invention, the thickness of the metal layer 218 is in the range of 1000 to 500 Å, and it may be composed of aluminum metal or a commonly used barrier 2, such as titanium nitride or a nitride button. Furthermore, the metal layer 2 1 8 can be formed by physical vapor deposition (PVD) or CVD. Preferably, the metal layer 218 is formed by ionized physical vapor deposition (ionized PVD, I-PVD). Next, referring to FIG. 2c, the metal layer 2 丨 8 is anisotropically etched, for example, using reactive ion etching to form a metal space on the side wall of each opening 21 6, which is 2 2 0. In addition, if the metal layer 2 1 8 is formed by using pb, the subsequent step of anisotropically etching to form a metal spacer can be performed by in-situ argon ion sputtering etching. As a result, process steps can be reduced and productivity can be increased. Next, referring to FIG. 2d, the upper cap layer 208 below the opening 2 1 6 and the dielectric layer 2 06 of the process are etched to form a trench 222 in the dielectric layer 2 06. After the etching process is performed, the anti-reflection layer 212 is completely removed and a part of the hard mask layer 2 1 0 and the metal spacer 2 2 0 are consumed. / Compared with the conventional technology, since the metal partition wall 2 2 0 in the present invention can protect the sidewall of the hard mask layer 2 2 during the etching, a groove 2 2 2 with a vertical profile can be obtained to prevent the key Graphic size (⑶) changes. In addition, the top cover layer 208 is also protected by the hard mask layer 2 and the metal spacer 220 during the etching, which is beneficial to the subsequent CMP process. Finally, please refer to Figure 2e, remove the hard cover curtain layer 21〇, and at the same time m · m 1 1 0702-8996twf (nl); 91P63; SPIN.ptd Z ---- 1 582093

五、發明說明(7) 除去其側壁餘留的金屬間隙壁2 2 0。之後,同樣地,藉由 感應耦合電漿(I CP )製程(氬離子藏射棘刻)來實施一 標準的預清潔程序,以去除原生氧化層或聚合物殘留物 (未繪示)。接著,在上蓋層208上方形成一導電層,例 如銅金屬層,並填入溝槽222中。一般而言,在填入導電 層之前,會先在上蓋層2 0 8上方及溝槽2 2 2表面順應性形成 阻卩早層(未繪示),例如氮化鈦層或氮化组層。之後, 藉由習知研磨技術,例如化學機械研磨(CMP ),去除上 蓋層2 08上方多餘的導電層及阻障層以形成鑲嵌結構224。 根據本發明之方法,在進行預清潔程序前,由阻障材 料或紹金屬所構成之金屬間隙壁22〇可連同硬式罩幕層21〇 一起被除去。因此,不會有污染源沉積於丨cp蝕刻反應室 内部=導致其失效的問題產生。再者,位於溝槽2 2 〇之間 的上蓋層208在實施CMP之前因受到保護而並未損耗。因 此,可有效防止金屬架橋的問題,進而提升元件之可靠 雖然本發明已以較佳實 限定本發明’任何熟習此項 神和範圍内’當可作更動與 當視後附之申請專利範圍所 施例揭露如上,然其並非用以 技藝者,在不脫離本發明之精 潤飾’因此本發明之保護範圍 界定者為準。 %V. Description of the invention (7) Remove the metal spacers 2 2 0 left on the side wall. Then, similarly, a standard pre-cleaning process is performed by an inductively coupled plasma (I CP) process (argon ion beam-spraying) to remove native oxide layers or polymer residues (not shown). Next, a conductive layer, such as a copper metal layer, is formed over the cap layer 208 and filled into the trench 222. Generally speaking, before filling the conductive layer, an early barrier layer (not shown), such as a titanium nitride layer or a nitride group layer, is formed on the surface of the upper cap layer 208 and on the surface of the trench 2 2 2 in compliance. . Thereafter, by using a conventional polishing technique, such as chemical mechanical polishing (CMP), the excess conductive layer and the barrier layer above the cap layer 208 are removed to form a damascene structure 224. According to the method of the present invention, before the pre-cleaning process is performed, the metal partition wall 22o composed of the barrier material or the metal can be removed together with the hard cover layer 21o. Therefore, no pollution source is deposited inside the cp etching reaction chamber = a problem that causes its failure. In addition, the cap layer 208 between the trenches 2 2 0 is protected from loss before CMP is performed. Therefore, the problem of metal bridges can be effectively prevented, and the reliability of the components can be improved. Although the present invention has better defined the present invention 'any familiarity with this god and scope', it can be changed and treated as the scope of the patent application attached The embodiment is disclosed as above, but it is not intended to be a craftsman, without departing from the refined decoration of the present invention, therefore, the scope of protection of the present invention shall prevail. %

582093 圖式簡單說明 第1 a到1 d圖係繪示出習知形成鑲嵌結構之方法剖面式 意圖。 第2a到2e圖係繪示出根據本發明實施例之形成鑲嵌結 構之方法剖面式意圖。 符號說明: 習知 1 0 0〜基底;1 0 2〜金屬導線層;1 0 4〜封蓋層;1 0 6〜金屬 層間介電層;1 0 8〜上蓋層;1 1 0〜硬式罩幕層;11 2〜光阻 層;1 1 4〜開口 ; 11 6〜溝槽;1 1 7〜密集溝槽之間的區域; 118〜鑲嵌結構;120〜金屬架橋。 本發明 200〜基底;202、218〜金屬層;204〜封蓋層;206〜金 屬層間介電層;208〜上蓋層;210〜硬式罩幕層;212〜抗反 射層;2 1 4〜光阻層;2 1 6〜開口; 2 2 0〜金屬間隙壁;2 2 2〜溝 槽;224〜鑲嵌結構。582093 Brief description of the drawings Figures 1a to 1d are cross-sectional views of the conventional method for forming a mosaic structure. Figures 2a to 2e are schematic cross-sectional views illustrating a method of forming a mosaic structure according to an embodiment of the present invention. Explanation of symbols: Conventional 10 0 ~ substrate; 10 2 ~ metal wire layer; 104 ~ capping layer; 106 ~ metal interlayer dielectric layer; 108 ~ upper cap layer; 1 10 ~ hard cover Curtain layer; 11 2 ~ photoresist layer; 1 1 4 ~ opening; 11 6 ~ groove; 1 7 ~ area between dense groove; 118 ~ mosaic structure; 120 ~ metal bridge. 200 ~ substrate of the present invention; 202, 218 ~ metal layer; 204 ~ capping layer; 206 ~ metal interlayer dielectric layer; 208 ~ upper cover layer; 210 ~ hard mask layer; 212 ~ anti-reflective layer; 2 1 4 ~ light Barrier layer; 2 1 6 ~ opening; 2 2 0 ~ metal spacer; 2 2 2 ~ trench; 224 ~ mosaic structure.

0702-8996twf(nl) ; 91P63 ; SPIN.ptd 第13頁0702-8996twf (nl); 91P63; SPIN.ptd page 13

Claims (1)

观093 、申請專利範圍 至少包括下列步驟 •一種形成鑲嵌結構之方涑 在一基底上沉積一絕緣層; 、# a · 在該絕緣層上依序形成/上蓋層及一硬式罩幕層, 藉由該上蓋層作為一餘到終土層來蝕刻該硬式罩幕層 以形成至少一開口; “、、 人 在該開口侧壁形成一金屬間隙壁; 蝕刻該開口下方 襄廣及其下方之該絕緣層以形 成-溝槽; 之該上多View 093. The scope of patent application includes at least the following steps: a method of forming a mosaic structure, depositing an insulating layer on a substrate; # a · sequentially forming / covering a layer and a hard cover layer on the insulating layer, by The hard cover layer is etched by the upper cover layer as a residual layer to the final soil layer to form at least one opening; ", a person forms a metal partition wall on the side wall of the opening; and etches Xiangguang below the opening and the below Insulating layer to form a trench; 去除該硬式罩幕層及該金屬間隙壁;以及 在該溝槽中填入一導電廣以形成鑲嵌結構。 2 ·如申請專利範圍第丨項所述之形成鑲嵌結構之方 法’在該溝槽中填Α該導電廣之前,更包括清潔該基底之 3 ·如申請專利範圍第2項所述之形成鑲嵌結構之方 法’其中該清潔步騍係在感應耦合電漿反應室所進行之氬 離子濺射蝕刻。 4·如申請專利範圍第1項所述之形成鑲嵌結構之方 法,其中該絕緣層包含一低介電常數材料層。Removing the hard mask layer and the metal spacer; and filling a conductive pad in the trench to form a mosaic structure. 2 · The method of forming a mosaic structure as described in item 丨 of the scope of patent application 'Before filling the trench with the conductive material, the method further includes cleaning the substrate. 3 · Forming the mosaic as described in item 2 of the scope of patent application Structural method 'wherein the cleaning step is argon ion sputtering etching performed in an inductively coupled plasma reaction chamber. 4. The method of forming a damascene structure as described in item 1 of the scope of the patent application, wherein the insulating layer comprises a layer of a low dielectric constant material. 5 ·如申請專利範圍第1項所述之形成鑲嵌結構之方 法,其中該上蓋層係一未摻雜石夕玻璃。 、6 ·如申請專利範圍第5項所述之形成鑲嵌結構之方 法’其中該上蓋層之厚度在丨〇 〇 〇到丨5 〇 〇埃的範圍。 、7·如申請專利範圍第1項所述之形成鑲嵌結構之方 法,其中该硬式罩幕層係一氮化矽層或一碳化矽層。5. The method of forming a mosaic structure as described in item 1 of the scope of the patent application, wherein the cap layer is an undoped stone glass. 6, 6. The method for forming a mosaic structure as described in item 5 of the scope of the patent application, wherein the thickness of the cap layer is in the range of 丨 00 to 5,000 Angstroms. 7. The method for forming a damascene structure as described in item 1 of the scope of the patent application, wherein the hard mask layer is a silicon nitride layer or a silicon carbide layer. 582093 六、申請專利範圍 8. 如申請專利範圍第7項所述之形成鑲嵌結構之方 法,其中該硬式罩幕層之厚度在1 0 0 0到1 5 0 0埃的範圍。 9. 如申請專利範圍第1項所述之形成鑲嵌結構之方 法,在#刻該硬式罩幕層之前,更包括在該硬式罩幕層上 形成一抗反射層之步驟。 1 0.如申請專利範圍第9項所述之形成鑲嵌結構之方 法,其中該抗反射層係一氮氧化矽層。 11.如申請專利範圍第1項所述之形成鑲嵌結構之方 法,其中形成該金屬間隙壁至少包括下列步驟·· 在該硬式罩幕層上及該開口表面順應性形成一金屬 層;以及 非等向性姓刻該金屬層以在該開口侧壁形成該金屬間 隙壁。 1 2.如申請專利範圍第11項所述之形成鑲嵌結構之方 法,其中該金屬層之厚度在1 0 0到5 〇 〇埃的範圍。 1 3 ·如申請專利範圍第11項所述之形成鑲嵌結構之方 法,其中該金屬層係氮化鈦或氮化钽等阻障材料層。 1 4 ·如申請專利範圍第11項所述之形成鑲嵌結構之方 法,其中藉由物理氣相沉積或化學氣相沉積形成該金屬 層。 1 5 ·如申請專利範圍第11項所述之形成鑲嵌結構之方 法,其中該非等向性蝕刻係反應離子蝕刻。 1 6 ·如申請專利範圍第1 1項所述之形成鑲嵌結構之方 法,其中藉由離子化物理氣相沉積形成該金屬層。582093 6. Scope of patent application 8. The method for forming a mosaic structure as described in item 7 of the scope of patent application, wherein the thickness of the hard mask layer is in the range of 100 to 15 Angstroms. 9. According to the method for forming a mosaic structure described in item 1 of the scope of the patent application, before the engraving of the hard mask layer, the method further includes the step of forming an anti-reflection layer on the hard mask layer. 10. The method for forming a damascene structure as described in item 9 of the scope of the patent application, wherein the anti-reflection layer is a silicon oxynitride layer. 11. The method for forming a mosaic structure as described in item 1 of the scope of patent application, wherein forming the metal spacer comprises at least the following steps: forming a metal layer on the rigid mask layer and conforming to the opening surface; and The metal layer is engraved to form the metal spacer on the side wall of the opening. 1 2. The method for forming a damascene structure according to item 11 of the scope of the patent application, wherein the thickness of the metal layer is in the range of 100 to 500 angstroms. 1 3 · The method of forming a damascene structure as described in item 11 of the scope of patent application, wherein the metal layer is a barrier material layer such as titanium nitride or tantalum nitride. 14 · The method of forming a damascene structure as described in item 11 of the scope of the patent application, wherein the metal layer is formed by physical vapor deposition or chemical vapor deposition. 15 · The method of forming a damascene structure as described in item 11 of the scope of patent application, wherein the anisotropic etching is reactive ion etching. 16 · The method for forming a damascene structure as described in item 11 of the scope of patent application, wherein the metal layer is formed by ionized physical vapor deposition. 582093 六、申請專利範圍 1 7.如申請專利範圍第11項所述之形成鑲嵌結構之方 法,其中該非等向性蝕刻係原位氬離子濺射蝕刻。 1 8.如申請專利範圍第1項所述之形成鑲嵌結構之方 法,其中該金屬間隙壁係一鋁金屬間隙壁且其厚度在1 0 0 到5 0 0埃的範圍。 1 9.如申請專利範圍第1項所述之形成鑲嵌結構之方 法,其中該導電層係一銅金屬層。582093 6. Scope of patent application 1 7. The method of forming a mosaic structure as described in item 11 of the scope of patent application, wherein the anisotropic etching is in-situ argon ion sputtering etching. 1 8. The method for forming a mosaic structure according to item 1 of the scope of the patent application, wherein the metal spacer is an aluminum metal spacer and has a thickness in the range of 100 to 500 angstroms. 19. The method for forming a damascene structure as described in item 1 of the scope of the patent application, wherein the conductive layer is a copper metal layer. 0702-8996twf(nl) ; 91P63 ; SPIN.ptd 第16頁0702-8996twf (nl); 91P63; SPIN.ptd page 16
TW92105080A 2003-03-10 2003-03-10 Method for forming a damascene structure TW582093B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
TW92105080A TW582093B (en) 2003-03-10 2003-03-10 Method for forming a damascene structure

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW92105080A TW582093B (en) 2003-03-10 2003-03-10 Method for forming a damascene structure

Publications (2)

Publication Number Publication Date
TW582093B true TW582093B (en) 2004-04-01
TW200418136A TW200418136A (en) 2004-09-16

Family

ID=32960686

Family Applications (1)

Application Number Title Priority Date Filing Date
TW92105080A TW582093B (en) 2003-03-10 2003-03-10 Method for forming a damascene structure

Country Status (1)

Country Link
TW (1) TW582093B (en)

Also Published As

Publication number Publication date
TW200418136A (en) 2004-09-16

Similar Documents

Publication Publication Date Title
US6689695B1 (en) Multi-purpose composite mask for dual damascene patterning
US8138082B2 (en) Method for forming metal interconnects in a dielectric material
CN100444329C (en) Inlaid structure and its forming method
US6972259B2 (en) Method for forming openings in low dielectric constant material layer
US6924228B2 (en) Method of forming a via contact structure using a dual damascene technique
KR100422597B1 (en) Method of forming semiconductor device with capacitor and metal-interconnection in damascene process
KR100588373B1 (en) Method for forming semiconductor device
US8415799B2 (en) Dual damascene interconnect in hybrid dielectric
JP2006032864A (en) Multilayer wiring structure, semiconductor device having the same, and manufacturing method thereof
KR20060025149A (en) Dual damascene interconnect structures having different materials for line and via conductors
TW200421498A (en) Method for forming thick copper self-aligned dual damascene
US10629529B2 (en) Semiconductor device including a porous dielectric layer, and method of forming the semiconductor device
CN107978515B (en) Semiconductor device and manufacturing method thereof
US6569770B2 (en) Method for improving oxide erosion of tungsten CMP operations
TW582093B (en) Method for forming a damascene structure
US6713379B1 (en) Method for forming a damascene structure
KR100300065B1 (en) Method of forming interconnection for semiconductor device
KR100812298B1 (en) A method for forming a metal-insulator-metal capacitor
KR100791707B1 (en) Method for polishing inter-metal dielectric layer of the semiconductor device
KR100604528B1 (en) Method for metal interconnection of semiconductor device
KR100668961B1 (en) Method of fabricating metal-insulator-metal capacitor
CN106971973B (en) Semiconductor device, manufacturing method thereof and electronic device
KR100511128B1 (en) Method of forming a metal line in a semiconductor device
US20100164113A1 (en) Method for forming copper wiring in semiconductor device
KR20010025972A (en) Method of forming interconnection layer in semiconductor device