CN104350424A - 量测方法和设备、衬底、光刻系统以及器件制造方法 - Google Patents

量测方法和设备、衬底、光刻系统以及器件制造方法 Download PDF

Info

Publication number
CN104350424A
CN104350424A CN201380027929.7A CN201380027929A CN104350424A CN 104350424 A CN104350424 A CN 104350424A CN 201380027929 A CN201380027929 A CN 201380027929A CN 104350424 A CN104350424 A CN 104350424A
Authority
CN
China
Prior art keywords
complex target
image
component structure
substrate
target structure
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201380027929.7A
Other languages
English (en)
Other versions
CN104350424B (zh
Inventor
M·杰克
A·库兰
H·斯米尔德
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Netherlands BV
Original Assignee
ASML Netherlands BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML Netherlands BV filed Critical ASML Netherlands BV
Publication of CN104350424A publication Critical patent/CN104350424A/zh
Application granted granted Critical
Publication of CN104350424B publication Critical patent/CN104350424B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70681Metrology strategies
    • G03F7/70683Mark designs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Investigating Materials By The Use Of Optical Means Adapted For Particular Applications (AREA)
  • Investigating Or Analysing Materials By Optical Means (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Length Measuring Devices By Optical Means (AREA)

Abstract

一种通过光刻过程形成在衬底上的量测目标包括多个分量光栅。使用被分量光栅衍射的辐射的+1和-1级形成目标的图像。在被检测的图像中的感兴趣的区域(ROIs)对应于分量光栅被识别。在每个ROI内的强度值被处理并且在图像之间被比较,以获得不对称度的测量结果,并因此获得重叠误差的测量结果。分隔区形成在分量光栅之间,并且被设计成提供图像中的暗区域。在一实施例中,ROI被选择成它们的边界落入与分隔区相对应的图像区域中。通过这样的措施,不对称度测量对ROI的位置的变化有更大的容许度。暗区域也帮助识别图像中的目标。

Description

量测方法和设备、衬底、光刻系统以及器件制造方法
相关申请的交叉引用
本申请要求于2012年5月29日递交的美国临时申请61/652,552的权益,并且通过引用将其全部内容并入到本文中。
技术领域
本发明涉及可用于例如由光刻技术进行的器件制造中的量测方法和设备以及使用光刻技术制造器件的方法。
背景技术
光刻设备是一种将所需图案应用到衬底上,通常是衬底的目标部分上的机器。例如,可以将光刻设备用在集成电路(IC)的制造中。在这种情况下,可以将可选地称为掩模或掩模版的图案形成装置用于生成待形成在所述IC的单层上的电路图案。可以将该图案转移到衬底(例如,硅晶片)上的目标部分(例如,包括一部分管芯、一个或多个管芯)上。通常,图案的转移是通过把图案成像到设置在衬底上的辐射敏感材料(抗蚀剂)层上进行的。通常,单个的衬底将包含被连续形成图案的相邻目标部分的网络。已知的光刻设备包括:所谓的步进机,在所谓的步进机中,每个目标部分通过一次将整个图案曝光到目标部分上来辐照每个目标部分;以及所谓的扫描器,在所谓的扫描器中,通过辐射束沿给定方向(“扫描”方向)扫描所述图案、同时沿与该方向平行或反向平行的方向同步扫描所述衬底来辐照每个目标部分。也可以通过将图案压印到衬底上来将图案从图案形成装置转移到衬底上。
在光刻过程中,经常期望对所生成的结构进行测量,例如用于过程控制和验证。用于进行这种测量的多种工具是已知的,包括经常用于测量临界尺寸(CD)的扫描电子显微镜以及用于测量重叠(在器件中两个层的对准精度)的专用工具。近来,用于光刻领域的各种形式的散射仪已经被研制。这些装置将辐射束引导到目标上并测量被散射的辐射的一种或更多种性质(例如作为波长的函数的在单个反射角处的强度;作为反射角的函数的在一个或更多个波长处的强度;或作为反射角的函数的偏振)以获得“光谱”,根据该“光谱”,可以确定目标的感兴趣的性质。感兴趣的性质的确定可以通过各种技术来进行:例如通过迭代方法来重建目标结构,例如严格耦合波分析或有限元方法;库搜索;以及主分量分析。
由常规的散射仪所使用的目标是相对大的(例如40μm×40μm)光栅,测量束生成比光栅小的光斑(即光栅未被充满)。这简化了目标的数学重建,因为其可以被看成是无限的。然而,为了减小目标的尺寸,例如减小到10μm×10μm或更小,例如,于是它们可以被定位于产品特征之中而不是划线中,已经提出光栅被制成得比测量光斑更小的量测(即光栅被过填充)。典型地,这种目标使用暗场散射术进行测量,在暗场散射术中,第零衍射级(对应于镜面反射)被挡住,仅仅更高的衍射级被处理。使用衍射级的暗场检测的基于衍射的重叠使得能够在更小的目标上进行重叠测量。这些目标小于照射光斑,并且可以被晶片上的产品结构围绕。能够使用复合光栅目标在一个图像中测量多个光栅。
在已知的量测技术中,重叠测量的结果通过在旋转目标或改变照射模式或成像模式以独立地获得-1st(第-1)衍射级和+1st(第+1)衍射级的强度的同时在一定条件下测量目标两次来获得。对于给定的光栅比较这些强度能够提供光栅中的不对称度的测量,并且在重叠光栅中的不对称度能够用作重叠误差的指示器。
由于复合光栅目标中的各个光栅的尺寸被减小,在暗场图像中的边缘效应(条纹)变得显著,并且目标中不同光栅的图像之间会有串扰。为了解决这个问题,有些方案是仅仅选择每个光栅的图像的中心部分作为“感兴趣的区域(ROI)”。仅仅在ROI内的像素值被用于计算不对称度和重叠。然而,在考虑更小目标时,能够被限定为免于受边缘效应影响的ROI的尺寸减小至更小数量的像素。结果,对于给定的采集时间,测量固有地会有更多的噪声。并且,在定位ROI过程中的任何变动会成为所测量的不对称度中的显著误差源。
发明内容
期望提供一种用于重叠量测的技术,该技术能够保持在复合目标结构中使用小光栅的优点,其中相比于在先的被公开的技术,精确度能够被改进。具体的目标是避免与随着目标大小的减小而选择更小的ROI相关的缺点。
在第一实施例中,本发明提供一种使用复合目标结构测量光刻过程的性质的方法,其中所述复合目标结构包括多个已经通过所述光刻过程形成在衬底上的分量结构或组成结构,所述方法包括步骤:(a)使用在预定的照射条件下被所述分量结构或组成结构衍射的辐射的预定部分,形成和检测复合目标结构的图像;(b)识别所检测的图像中的一个或更多个感兴趣的区域,所述或每个感兴趣的区域与所述分量结构或组成结构中的一个特定分量结构或组成结构相对应;以及(c)处理感兴趣的区域中的像素值,以获得所述分量结构或组成结构的性质的测量结果。所述复合目标结构在所述分量结构或组成结构之间形成有分隔区,使得所述一个或更多个感兴趣的区域的位置变化不会显著影响所述性质的所获得的测量结果。
在一些实施例中,图像中与分隔区相对应的区域被用于使图像中的目标的识别更容易。
在一些实施例中,在步骤(c)中,所述感兴趣的区域被选择为它们的边界落入与所述分隔区相对应的图像区域中。在分隔区中的结构能够被形成为提供不随被测量的性质变化的图像区域,使得测量对于感兴趣的区域的精确定位的变化不是那么敏感。
在一些实施例中,分隔区形成为在图像中显示为暗,例如被形成有周期性结构,所述周期性结构的空间频率远高于分量结构或组成结构中的空间频率。
在另一实施例中,本发明提供一种用于使用复合目标结构测量光刻过程的性质的检查设备,所述复合目标结构包括多个已经通过所述光刻过程形成在衬底上的分量结构或组成结构,所述设备包括:用于衬底的支撑件,所述衬底具有形成在其上的所述复合目标结构;光学系统,所述光学系统用于在预定的照射条件下照射所述复合目标结构,并且用于使用在所述照射条件下被所述分量结构或组成结构衍射的辐射的预定部分形成和检测所述复合目标结构的图像;处理器,所述处理器被布置用于识别所述被检测的图像中的一个或更多个感兴趣的区域,所述或每个感兴趣的区域与所述分量结构或组成结构中的一个特定分量结构或组成结构相对应,以及被布置用于处理感兴趣的区域中的像素值,以获得所述分量结构或组成结构的性质的测量结果。所述处理器被布置用于识别感兴趣的区域,使得它们的边界落入与所述复合目标结构内的分量结构或组成结构之间的分隔区相对应的图像区域中。
在另一实施例中,本发明提供一种用于使用复合目标结构测量光刻过程的性质的检查设备,所述复合目标结构包括多个已经通过所述光刻过程形成在衬底上的分量结构或组成结构,所述设备包括:用于衬底的支撑件,所述衬底具有形成在其上的所述复合目标结构;光学系统,所述光学系统用于在预定的照射条件下照射所述复合目标结构,并且用于使用在所述照射条件下被所述分量结构或组成结构衍射的辐射的预定部分形成和检测所述复合目标结构的图像;处理器,所述处理器被布置用于识别被检测的图像中的一个或更多个感兴趣的区域,所述或每个感兴趣的区域与所述分量结构或组成结构中的一个特定分量结构或组成结构相对应,以及被布置用于处理感兴趣的区域中的像素值,以获得所述分量结构或组成结构的性质的测量结果。所述处理器被布置用于至少部分地通过识别与所述复合目标结构内的分量结构或组成结构之间的分隔区相对应的图像区域来识别感兴趣的区域以及识别所述复合目标的位置。
在另一实施例中,本发明还提供一对图案形成装置,用于根据本发明的上述任一方面形成衬底,所述图案形成装置一起适于用于在衬底上的一个或更多个位置处形成复合目标结构。图案形成装置可以适于在衬底上的两个不同的层中形成重叠的光栅,或者它们可以适于通过“多重图案化”技术在层中形成重叠的光栅。
在还一实施例中,本发明还提供计算机程序产品,所述计算机程序产品包括机器可读指令,所述机器可读指令用于使得处理器执行上述所述方法的识别和处理步骤(b)和(c)。
在还一实施例中,本发明还提供一种光刻系统,包括:光刻设备,所述光刻设备被布置用于以重叠的方式将一系列图案从图案形成装置转移到衬底上;以及根据本发明的如上所述的检查设备。所述光刻设备被布置成在将所述一系列图案应用于其他衬底时使用来自所述检查设备的被计算的重叠值。
一种制造器件的方法,其中使用光刻过程将一系列器件图案以重叠的方式应用于一系列衬底,所述方法包括使用根据本发明的如上所述的检查方法检查作为在至少一个衬底上的所述器件图案的一部分形成的至少一个周期结构或者检查在至少一个衬底上的器件图案旁边形成的至少一个周期结构,并且根据所述计算的重叠误差控制用于后续衬底的光刻过程。
本发明的进一步的特征和优点以及本发明的各种实施例的结构和操作将在下文中参照附图进行详细描述。应当注意,本发明不限于本文所述的具体实施例。这种实施例在本文中仅仅以示例的目的给出。另外的实施例将是相关领域的技术人员根据本文中所包含的教导能够理解的。
附图说明
在此包含在说明书中并形成说明书的一部分的附图示出本发明,并与文字描述一起进一步用于解释本发明的原理且能够使相关领域的技术人员实现和使用本发明。
图1示出根据本发明一实施例的光刻设备。
图2示出根据本发明一实施例的光刻单元或集群(cluster)。
图3A-3D包括(a)用于使用第一对照射孔测量根据本发明的实施例的目标的暗场散射仪的示意图,(b)针对于给定照射方向的目标光栅的衍射光谱的细节,(c)在使用基于衍射的重叠测量的散射仪的过程中提供另外的照射模式的第二对照射孔以及(d)将第一对孔和第二对孔组合的第三对照射孔。
图4示出已知形式的多光栅目标和在衬底上的测量光斑的轮廓。
图5示出在图3的散射仪中获得的图4的目标的图像。
图6是示出使用图3的散射仪并且能够适于形成本发明一实施例的重叠测量方法的步骤的流程图。
图7示出能够用于本发明的实施例中的新的复合光栅结构。
图8示出图7的结构的暗场图像,并且示出根据本发明实施例的对感兴趣的区域的选择。
图9A-9B示出能够用于本发明的实施例中的两个复合光栅结构(a)和(b),其中具有偏置图解,图中组合了对应于重叠测量的两个正交方向的分量光栅。
图10示出复合光栅结构阵列,用于测量利用光刻术制造的多层器件结构中几个层对之间的重叠。
本发明的特征和优势将根据下面阐述的具体实施方式并结合附图而更容易理解,在附图中,自始至终,同样的参考字母表示对应的元件。在附图中,同样的附图标记大体上表示相同的、功能相似和/或结构相似的元件。元件第一次出现所在的附图由相应的附图标记的最左面的数字表示。
具体实施方式
本说明书公开了包含本发明的特征的一个或更多个实施例。所公开的实施例仅仅示例性地说明本发明。本发明的范围不限于所公开的实施例。本发明由所附的权利要求来限定。
所述实施例以及在本说明书中提及的“一个实施例”、“一实施例”、“示例实施例”等表示所述实施例可以包括特定的特征、结构或特性,但是每个实施例可以不必包括该特定的特征、结构或特性。另外,这些措辞不必涉及同一实施例。而且,当特定的特征、结构或特性结合实施例进行描述时,应当理解,不论是否明确地描述,其都在本领域技术人员的知识范围内,用以结合其他实施例来实现这种特征、结构或特性。
本发明的实施例可以被实现为硬件、固件、软件或其任意组合。本发明的实施例也可以被实现为存储在机器可读介质上的指令,其可以由一个或更多个处理器来读取和执行。机器可读介质可以包括用于存储或传送呈机器(例如计算装置)可读形式的信息的任何机制。例如,机器可读介质可以包括只读存储器(ROM);随机存取存储器(RAM);磁盘存储介质;光存储介质;闪存装置;电、光、声或其他形式的传播信号(例如载波、红外信号、数字信号等)及其他。而且,固件、软件、例程、指令可以在此被描述为执行特定的动作。然而,应当理解,这种描述仅仅是为了方便起见,这种动作实际上由计算装置、处理器、控制器或用于执行固件、软件、例程、指令等的其他装置所导致。
然而,在更详细地描述这样的实施例之前,阐释本发明的实施例可以实施的示例环境是有意义的。
图1示意地示出了光刻设备LA。所述设备包括:照射系统(照射器)IL,其配置用于调节辐射束B(例如,UV辐射或DUV辐射);图案形成装置支撑件或支撑结构(例如掩模台)MT,其构造用于支撑图案形成装置(例如掩模)MA,并与配置用于根据特定的参数精确地定位图案形成装置的第一定位装置PM相连;衬底台(例如晶片台)WT,其构造用于保持衬底(例如涂覆有抗蚀剂的晶片)W,并与配置用于根据特定的参数精确地定位衬底的第二定位装置PW相连;和投影系统(例如折射式投影透镜系统)PS,其配置成用于将由图案形成装置MA赋予辐射束B的图案投影到衬底W的目标部分C(例如包括一根或更多根管芯)上。
照射系统可以包括各种类型的光学部件,例如折射型、反射型、磁性型、电磁型、静电型或其它类型的光学部件、或其任意组合,以引导、成形、或控制辐射。
所述图案形成装置支撑件以依赖于图案形成装置的方向、光刻设备的设计以及诸如例如图案形成装置是否保持在真空环境中等其他条件的方式保持图案形成装置。所述图案形成装置支撑件可以采用机械的、真空的、静电的或其它夹持技术来保持图案形成装置。所述图案形成装置支撑件可以是框架或台,例如,其可以根据需要成为固定的或可移动的。所述图案形成装置支撑件可以确保图案形成装置位于所需的位置上(例如相对于投影系统)。这里使用的任何术语“掩模版”或“掩模”可以看作与更为上位的术语“图案形成装置”同义。
这里所使用的术语“图案形成装置”应该被广义地理解为表示能够用于将图案在辐射束的横截面上赋予辐射束、以便在衬底的目标部分上形成图案的任何装置。应该注意的是,赋予辐射束的图案可能不与衬底的目标部分上的所需图案精确地对应(例如,如果所述图案包括相移特征或所谓的辅助特征)。通常,被赋予辐射束的图案将与在目标部分上形成的器件中的特定的功能层相对应,例如集成电路。
图案形成装置可以是透射型的或反射型的。图案形成装置的示例包括掩模、可编程反射镜阵列以及可编程LCD面板。掩模在光刻技术中是熟知的,并且包括诸如二元掩模类型、交替型相移掩模类型、衰减型相移掩模类型和各种混合掩模类型之类的掩模类型。可编程反射镜阵列的示例采用小反射镜的矩阵布置,每一个小反射镜可以独立地倾斜,以便沿不同方向反射入射的辐射束。所述已倾斜的反射镜将图案赋予由所述反射镜矩阵反射的辐射束。
这里使用的术语“投影系统”可以广义地解释为包括任意类型的投影系统,包括折射型、反射型、反射折射型、磁性型、电磁型和静电型光学系统、或其任意组合,如对于所使用的曝光辐射所适合的、或对于诸如使用浸没液或使用真空之类的其他因素所适合的。这里使用的任何术语“投影透镜”可以认为是与更上位的术语“投影系统”同义。
如这里所示的,所述设备是透射型的(例如,采用透射式掩模)。替代地,所述设备可以是反射型的(例如,采用如上所述类型的可编程反射镜阵列,或采用反射式掩模)。
光刻设备可以是具有两个(双台)或更多衬底台(和/或两个或更多的掩模台)的类型。在这种“多平台”机器中,可以并行地使用附加的台,或可以在一个或更多个台上执行预备步骤的同时,将一个或更多个其它台用于曝光。
所述光刻设备还可以是这种类型:其中衬底的至少一部分可以由具有相对高的折射率的液体(例如水)覆盖,以便填充投影系统和衬底之间的空间。浸没液体还可以施加到光刻设备中的其他空间,例如掩模和投影系统之间的空间。浸没技术用于提高投影系统的数值孔径在本领域是熟知的。这里使用的术语“浸没”并不意味着必须将结构(例如衬底)浸入到液体中,而仅意味着在曝光过程中液体位于投影系统和该衬底之间。
参照图1,照射器IL接收来自辐射源SO的辐射束。所述源和光刻设备可以是分立的实体(例如当该源为准分子激光器时)。在这种情况下,不会将该源考虑成形成光刻设备的一部分,并且通过包括例如合适的定向反射镜和/或扩束器的束传递系统BD的帮助,将所述辐射束从所述源SO传到所述照射器IL。在其它情况下,所述源可以是所述光刻设备的组成部分(例如当所述源是汞灯时)。可以将所述源SO和所述照射器IL、以及如果需要时设置的所述束传递系统BD一起称作辐射系统。
所述照射器IL可以包括用于调整所述辐射束的角强度分布的调整器AD。通常,可以对所述照射器的光瞳平面中的强度分布的至少所述外部和/或内部径向范围(一般分别称为σ-外部和σ-内部)进行调整。此外,所述照射器IL可以包括各种其它部件,例如整合器IN和聚光器CO。可以将所述照射器用于调节所述辐射束,以在其横截面中具有所需的均匀性和强度分布。
所述辐射束B入射到保持在图案形成装置支撑件(例如,掩模台MT)上的所述图案形成装置(例如,掩模)MA上,并且通过所述图案形成装置来形成图案。已经穿过图案形成装置(例如,掩模)MA之后,所述辐射束B通过投影系统PS,所述投影系统将辐射束聚焦到所述衬底W的目标部分C上。通过第二定位装置PW和位置传感器IF(例如,干涉仪器件、线性编码器、二维编码器或电容传感器)的帮助,可以精确地移动所述衬底台WT,例如以便将不同的目标部分C定位于所述辐射束B的路径中。类似地,例如在从掩模库的机械获取之后或在扫描期间,可以将所述第一定位装置PM和另一个位置传感器(在图1中没有明确地示出)用于相对于所述辐射束B的路径精确地定位图案形成装置(例如掩模)MA。通常,可以通过形成所述第一定位装置PM的一部分的长行程模块(粗定位)和短行程模块(精定位)的帮助来实现图案形成装置支撑件(例如掩模台)MT的移动。类似地,可以采用形成所述第二定位装置PW的一部分的长行程模块和短行程模块来实现所述衬底台WT的移动。在步进机的情况下(与扫描器相反),图案形成装置支撑件(例如掩模台)MT可以仅与短行程致动器相连,或可以是固定的。
可以使用掩模对准标记M1、M2和衬底对准标记P1、P2来对准图案形成装置(例如掩模)MA和衬底W。尽管所示的衬底对准标记占据了专用目标部分,但是它们可以位于目标部分(这些公知为划线对齐标记)之间的空间中。类似地,在将多于一个的管芯设置在图案形成装置(例如掩模)MA上的情况下,所述掩模对准标记可以位于所述管芯之间。小的对准标记也可以被包括在管芯内、在器件特征之间,在这种情况下,期望所述标记尽可能小且不需要任何与相邻的特征不同的成像或处理条件。检测对准标记的对准系统将在下文中进一步描述。
可以将所示的设备用于以下模式中的至少一种中:
1.在步进模式中,在将图案形成装置支撑件(例如掩模台)MT和衬底台WT保持为基本静止的同时,将赋予所述辐射束的整个图案一次投影到目标部分C上(即,单一的静态曝光)。然后将所述衬底台WT沿X和/或Y方向移动,使得可以对不同目标部分C曝光。在步进模式中,曝光场的最大尺寸限制了在单一的静态曝光中成像的所述目标部分C的尺寸。
2.在扫描模式中,在对图案形成装置支撑件(例如掩模台)MT和衬底台WT同步地进行扫描的同时,将赋予所述辐射束的图案投影到目标部分C上(即,单一的动态曝光)。衬底台WT相对于图案形成装置支撑件(例如掩模台)MT的速度和方向可以通过所述投影系统PS的(缩小)放大率和图像反转特性来确定。在扫描模式中,曝光场的最大尺寸限制了单一的动态曝光中的所述目标部分的宽度(沿非扫描方向),而所述扫描移动的长度确定了所述目标部分的高度(沿扫描方向)。
3.在另一模式中,将用于保持可编程图案形成装置的图案形成装置支撑件(例如掩模台)MT保持为基本静止,并且在将赋予所述辐射束的图案投影到目标部分C上的同时,对所述衬底台WT进行移动或扫描。在这种模式中,通常采用脉冲辐射源,并且在所述衬底台WT的每一次移动之后、或在扫描期间的连续辐射脉冲之间,根据需要更新所述可编程图案形成装置。这种操作模式可易于应用于利用可编程图案形成装置(例如,如上所述类型的可编程反射镜阵列)的无掩模光刻术中。
也可以采用上述使用模式的组合和/或变体,或完全不同的使用模式。
光刻设备LA是所谓的双平台类型,其具有两个衬底台WTa、WTb和两个站—曝光站和测量站,在曝光站和测量站之间衬底台可以被进行交换。当一个衬底台上的一个衬底在曝光站被进行曝光时,另一衬底可以被加载到测量站处的另一衬底台上且执行各种预备步骤。所述预备步骤可以包括使用水平传感器LS对衬底的表面控制进行规划和使用对准传感器AS测量衬底上的对准标记的位置。这能够实质地增加设备的生产率。如果当衬底台处于测量站以及处于曝光站时,位置传感器IF不能测量衬底台的位置,则可以设置第二位置传感器来使得衬底台的位置能够在两个站处被追踪。
如图2所示,光刻设备LA形成光刻单元LC(有时也称为光刻元或者光刻集群)的一部分,光刻单元LC还包括用以在衬底上执行曝光前和曝光后处理的设备。通常,这些包括用以沉积抗蚀剂层的旋涂器SC、用以对曝光后的抗蚀剂显影的显影器DE、激冷板CH和烘烤板BK。衬底操纵装置或机械人RO从输入/输出口I/O1、I/O2拾取衬底,然后将它们在不同的处理设备之间移动,然后将它们传递到光刻设备的进料台LB。经常统称为轨道的这些装置处在轨道控制单元TCU的控制之下,所述轨道控制单元TCU自身由管理控制系统SCS控制,所述管理控制系统SCS也经由光刻控制单元LACU控制光刻设备。因此,不同的设备可以被操作用于将生产率和处理效率最大化。
暗场量测的示例可以在国际专利申请WO2009/078708和WO2009/106279中找到,这两篇专利文献以引用方式整体并入本文。该技术的进一步的发展已经在公开的专利公开出版物US20110027704A、US20110043791A、US20120044470和US2012/0123581中进行了描述,并且在美国专利申请:律师文件No.2857.310和2857.315中进行了描述。所有这些申请的内容也以引用的方式并入本文。
适用于本发明的实施例中的暗场量测设备如图3(a)所示。光栅目标T和衍射的光线在图3(b)中被更详细地示出。暗场量测设备可以是单独的装置或被包含在光刻设备LA(例如在测量站处)或光刻单元LC中。光轴由虚线O表示,其有多个贯穿设备的支路。在该设备中,由源11(例如氙灯)发出的光借助于包括透镜12、14和物镜16的光学系统经由分束器15被引导到衬底W上。这些透镜被布置成4F布置的双序列。可以使用不同的透镜布置,只要这样的透镜布置仍然能够将衬底图像提供到检测器上,并且同时对于空间-频率过滤允许访问中间光瞳平面。因此,辐射入射到衬底上的角度范围可以通过在一平面中定义表示衬底平面(在此称为(共轭)光瞳平面)的空间谱的空间强度分布来选择。尤其,这可以通过将合适形式的孔板13在是物镜光瞳平面的后投影像的平面中插入到透镜12和14之间来完成。在所示的示例中,孔板13具有不同的形式,以13N和13S标记,允许选择不同的照射模式。在本示例中的照射系统形成离轴照射模式。在第一照射模式中,孔板13N提供从标记为“N(北)”的方向(仅仅为了说明起见)的离轴。在第二照射模式中,孔板13S用于提供类似的照射,但是从标记为“S(南)”的相反方向。也可以通过使用不同的孔来实现其它的照射模式。光瞳平面的其余部分期望是暗的,因为所期望的照射模式之外的任何非必要的光将干扰所期望的测量信号。
如图3(b)所示,光栅目标T和衬底W被放置成与物镜16的光轴O正交。从偏离光轴O的一角度射到目标T上的照射光线I产生第零级光线(实线0)和两个第一级光线(单点划线+1和双点划线-1)。应当知晓,在过填充的小目标光栅的情况下,这些光线仅仅是覆盖包括量测目标T和其它特征的衬底的区域的许多平行光线之一。在设置有复合光栅目标的情况下,目标内的每个单独的光栅将产生其本身的衍射光谱。由于板13中的孔具有有限的宽度(允许有用的光量通过所必须的),所以入射光线I实际上将占据角度范围,被衍射的光线0和+1/-1将被稍稍扩散。根据小目标的点扩散函数,每个衍射级+1和-1将被进一步在一角范围上扩散,而不是如所示出的理想的单条光线。注意,光栅节距和照射角可以被设计或调整成使得进入物镜的第一级光线与中心光轴接近或紧密地对准。在图3(a)和3(b)中示出的光线被示出为有些离轴,纯粹是为了能够使它们更容易在图中被区分出来。
至少由衬底W上的目标所衍射的0和+1级被物镜16所收集并通过分束器15被引导返回。回到图3(a),第一和第二照射模式都通过指定标记为北(N)和南(S)的在直径上相对的孔来示出。当入射光线I来自光轴的北侧时,即当使用孔板13N来实现第一照射模式时,被标记为+1(N)的+1衍射光线进入物镜16。相反,当使用孔板13S来应用第二照射模式时,(被标记为-1(S))的-1衍射光线是进入物镜16的衍射光线。
第二分束器17将衍射束分成两个测量支路。在第一测量支路中,光学系统18使用第零级和第一级衍射束在第一传感器19(例如CCD或CMOS传感器)上形成目标的衍射光谱(光瞳平面图像)。每个衍射级击中传感器上的不同的点,以使得图像处理可以对衍射级进行比较和对比。由传感器19所捕捉的光瞳平面图像可以被用于会聚量测设备和/或归一化第一级束的强度测量。光瞳平面图像也可以用于许多的测量目的,例如重建,这不是本发明的主题。
在第二测量支路中,光学系统20、22在传感器23(例如CCD或CMOS传感器)上形成衬底W上的目标的图像。在第二测量支路中,孔径光阑21设置在与光瞳平面共轭的平面中。孔径光阑21的功能是阻挡第零级衍射束以使得形成在传感器23上的目标的图像仅仅由-1或+1第一级束形成。由传感器19和23捕捉的图像被输出到图像处理器和控制器PU,所述图像处理器和控制器PU的功能将依赖于所进行的测量的特定类型。注意到,术语“图像”在此用于广泛的含义。如果仅存在-1和+1衍射级中的一个,则光栅线的图像同样将不被形成。
如图3所示的孔板13和场光阑21的特定形式纯粹是示例性的。在本发明的另一实施例中,使用目标的同轴照射,且具有离轴孔的孔径光阑用于基本上仅使第一级衍射光通到或穿过至传感器。(在13和21处示出的孔在那种情况下被有效地交换。)在其它的实施例中,替代第一级束或除第一级束之外,可以将第二级、第三级和更高级次的束(未在图3中示出)用于测量。
为了使照射能够适应于这些不同类型的测量,孔板13可以包括在盘周围形成的多个孔图案,所述盘旋转以将期望的图案带入到合适的位置。替代地或附加地,一组板13可以被设置和交换以实现相同的效果。也可以使用可编程照射装置,例如可变形反射镜阵列或透射式空间光调制器。移动的反射镜或棱镜可以被用作调整照射模式的另一种方式。
如刚刚关于孔板13所进行的解释,用于成像的衍射级的选择可以替代地通过变更光瞳光阑(pupil-stop)21或通过更换具有不同的图案的光瞳光阑或通过将固定的场光阑替换为可编程空间光调制器来实现。在这种情况下,测量光学系统的照射侧可以保持恒定,同时,其处于具有第一和第二模式的成像侧。因此,在本发明公开内容中,有效地存在三种类型的测量方法,每一种方法都有其自身的优势和劣势。在一种方法中,照射模式被改变以测量不同的(衍射)级。在另一种方法中,成像模式被改变。在第三种方法中,照射模式和成像模式保持不变,但是目标被转过180度。在每种情况下,所期望的效果是相同的,即选择非零级衍射辐射的在目标的衍射光谱中彼此对称地对置的第一部分和第二部分。在原理上,衍射级的期望的选择可以通过同时地改变照射模式和成像模式的组合来获得,但是这可能带来缺点而没有优点,因此,其将不被进一步讨论。
尽管用于本示例中的成像的光学系统具有由场光阑21限制的宽的入射光瞳,但是在其他实施例或应用中,成像系统自身的入射光瞳尺寸可以足够小以限制至所期望的衍射级,因此也用作场光阑。不同的孔板如图3(c)和(d)所示,它们可以被使用,如下文所进一步描述的。
典型地,目标光栅将与其或沿南北或沿东西延伸的光栅线对准。也就是说,光栅将在衬底W的X方向上或Y方向上对准。注意到,孔板13N或13S可以仅仅用于测量在一个方向上定向(X或Y,依赖于设置)的光栅。对于正交光栅的测量,可以实现目标转过90度和270度。然而,更方便地,使用孔板13E或13W将来自东或西的照射设置在照射光学装置中,如图3(c)所示。孔板13N至13W可以被独立地形成和互换,或它们可以是能够旋转90、180或270度的单个孔板。如已经描述的,如图3(c)所示的离轴孔可以被设置在场光阑21中,而不是被设置在照射孔板13中。在该情况下,照射将沿轴线进行。
图3(d)示出可以用于组合第一对和第二对孔板的照射模式的第三对孔板。孔板13NW具有位于北和东的孔,而孔板13SE具有位于南和西的孔。假定在这些不同的衍射信号之间的串扰不太大,则X光栅和Y光栅两者的测量可以在不改变照射模式的情况下进行。
使用小目标的重叠测量
图4示出根据已知的实践在衬底上形成的复合光栅目标。该复合目标包括紧密地定位在一起的四个光栅32至35,以使得它们都将在由量测设备的照射束形成的测量光斑31内。于是,四个目标都被同时地照射并被同时地成像在传感器19和23上。在专用于重叠测量的一示例中,光栅32至35自身是由重叠光栅形成的复合光栅,所重叠的光栅在形成在衬底W上的半导体器件的不同层中被图案化。光栅32至35可以具有被不同地偏置的重叠偏移,以便促进在复合光栅的不同部分形成所在的层之间的重叠测量。光栅32至35也可以在它们的方向上不同或具有不同的方向,如图所示,以便在X方向和Y方向上衍射入射的辐射。在一个示例中,光栅32和34分别是具有+d、-d偏置的X方向光栅。这意味着,光栅32具有其重叠分量或成分,所述重叠分量或成分布置成使得如果它们都恰好被印刷在它们的名义位置上,则所述重叠分量或成分之一将相对于另一重叠分量或成分偏置距离d。光栅34具有其分量或成分,所述分量或成分布置成使得如果被完好地印刷则将存在d的偏置,但是该偏置在与第一光栅相反的方向上,等等。光栅33和35分别是具有偏置+d和-d的Y方向光栅。尽管四个光栅被示出,但是另一实施例可能需要更大的矩阵来获得所期望的精度。例如,9个复合光栅的3×3阵列可以具有偏置-4d、-3d、-2d、-d、0、+d、+2d、+3d、+4d。这些光栅的独立的图像可以在由传感器23捕捉的图像中被识别。
图5示出可以使用图3的设备中的图4的目标、使用如图3(d)的孔板13NW或13SE在传感器23上形成并由传感器23检测的图像的示例。尽管光瞳平面图像传感器19不能分辨不同的各个光栅32至35,但是图像传感器23可以分辨不同的各个光栅32至35。交叉阴影线画出的阴影矩形40表示传感器上的像场,其中衬底上的照射光斑31被成像到相应的圆形区域41中。理想地,所述场是暗场。在该暗场图像中,矩形区域42-45表示各个光栅32至35的图像。如果光栅位于产品区域中,则产品特征也可以在该像场的周边处是可见的。尽管在图5的暗场图像中仅仅示出单个复合光栅目标,但是在实践中通过光刻术制成的半导体器件或其他产品可能具有许多层,并且期望在不同的层对之间进行重叠测量。对于层对之间的每个重叠测量,需要一个或更多个复合光栅目标,因此在像场中可能存在其他的复合光栅目标。图像处理器和控制器PU使用模式识别来处理这些图像以识别光栅32至35的独立的图像42至45。以这种方式,图像不必非常精确地在传感器框架内的特定位置处对准,这极大地提高了整个测量设备的生产率。然而,如果成像过程受到像场上的不均匀性的影响,则保持对精确对准的要求。在本发明的一个实施例中,四个位置P1至P4被识别且光栅被与这些已知的位置尽可能地对准。
一旦光栅的独立的图像已经被识别,那些各个图像的强度可以被测量,例如通过对所识别的区域中的所选的像素强度值进行平均或求和来实现。图像的强度和/或其它性质可以相互对比。这些结果可以被组合以测量光刻过程的不同的参数。重叠性能是这种参数的重要的示例。
图6示出如何例如使用在申请US2011/027704中描述的方法、通过光栅的不对称度来测量包含分量光栅或组成光栅(component grating)32至35的两个层之间的重叠误差,所述不对称度通过比较它们在+1级和-1级暗场图像中的强度来获得。在步骤S1中,衬底,例如半导体晶片,通过图2的光刻单元一次地或更多次地处理,以形成包括重叠目标32至35的结构。在步骤S2中,使用图3的量测设备,光栅32至35的图像仅利用第一级衍射束中的一个(例如-1级衍射束)来获得。然后,通过改变照射模式、或改变成像模式、或通过将衬底W在量测设备的视场中旋转180度,可以利用另一个第一级衍射束(+1)来获得光栅的第二图像(步骤S3)。因此,+1级衍射辐射在第二图像中被捕捉。
注意到,通过在每个图像中包括仅仅一半的第一级衍射辐射,在此所述的“图像”不是常规的暗场显微镜图像。每个光栅将仅仅由具有一定强度水平的区域来表示。各个光栅线将不被分辨,因为仅仅存在+1和-1级衍射辐射之一。在步骤S4中,感兴趣的区域(ROI)在每个分量光栅或组成光栅(component grating)的图像内被细致地识别,强度水平将根据该图像来测量。这样做是因为,尤其是在各个的光栅图像的边缘附近,通常,强度值可能高度地依赖于过程变量,例如抗蚀剂厚度、成分、线形状以及边缘效应。
本申请公开了用于目标设计和ROI选择的新方法,下面将参考附图7进行详细地描述。
已经针对于每个独立的光栅对ROI进行了识别和测量其强度,这样就可以确定光栅结构的不对称度,并因此确定重叠误差。这被通过如下方式完成:由图像处理器和控制器PU在步骤S5中将针对于每个光栅32-35的+1和-1衍射级所获得的强度值进行比较以识别它们的强度中的任何差异,在步骤S6中,根据光栅的重叠偏置的知识来确定目标T附近的重叠误差。
在上述的现有应用中,公开了用于使用上述基本方法来提高重叠测量的品质的各种技术。例如,在图像之间的强度差可以归于用于不同的测量的光路中的差异,而不纯粹是目标的不对称度。照射源11可以使得照射光斑31的强度和/或相位不均匀。可以确定校正并将该校正应用于参照例如传感器23的像场中的目标图像的位置来最小化这种误差。各个分量光栅可以在它们的周期方向上被延长,使得最大化给定目标区域内的有用的衍射信号。这些技术在现有应用中被解释,在此将不对细节进行进一步地解释。它们可以与在本申请中新公开的技术结合使用,下面将对本申请的技术进行描述。
在另一专利申请(2857.315)中,各个光栅的边缘部分中或边缘部分周围的特征被改变,以便减小边缘效应的强度和范围。这些改变可以以与光刻过程中用于增强微细特征的印刷所用的光学邻近效应校正(OPC)特征类似的方式起作用。在另一申请(2857.310)中,建议使用三个或更多的分量光栅并通过图6的方法来测量重叠。通过针对具有至少三个不同的偏置的光栅测量不对称度,步骤S6中的计算可以被改变,以便校正目标光栅中的特征不对称度(例如由于实际的光刻过程中的底部光栅不对称度所引起的)。这些技术在现有应用中被类似地解释,在此将不对细节进行进一步地解释。它们可以与在本申请中新公开的技术结合使用,下面将对本申请的技术进行描述。
边缘效应和ROI选择
尽管图5示出具有均匀强度的四个方形42-45组成的理想化图像,然而,实际中照相装置上的每个光栅的图像不是完美的。由于暗场成像的属性,目标的边缘比中心部分被照得更亮。这使得难于测量目标的“该”强度。此外,来自相邻光栅或者环境的光贡献需要被避免。为了解决这个问题,目前是限定排除边缘之外的感兴趣的区域(ROI),仅仅选择来自四个分量光栅中的每一个的中心部分的光。然而,这意味着:相比于光栅的全尺寸,从较小面积收集信号更有效。例如,在各个光栅是5×5μm2的情况下,ROI可能对应光栅图像的中间部分中的仅仅3×3μm2的面积。这种信号的减少或者需要用较长的收集时间来补偿,或者导致较大的测量不确定性。并且,ROI在光栅上的正确布置是极其重要的。小的移动将导致边缘光的一部分被包含进来,这将导致被检测光强的相对大的改变,由此进一步使测量精度和精确性恶化。
在本公开中,我们建议一种新的目标设计。作为第一优点,新的目标设计能够允许容易地识别被检测的图像中的目标。作为第二优点,当新的目标与新的ROI选择方法结合使用时,对应于ROI的精确布置的容差能够被改善。并且,潜在地,信号区域也能够被增大,即使在分量光栅的尺寸被减小时也是如此。
所建议的技术的第一新特征是在构成复合光栅目标的分量光栅之间提供分隔区。该分隔区可以足够大,使得不管是否存在衍射和边缘条纹,分量目标的图像之间的强度都不会被分量光栅显著地影响。分隔区可以例如形成为使得暗场图像的那些部分中的强度降至充分低的值,表现为暗的。这便于识别复合目标图像,由此便于选择ROI。下面将描述示例性目标设计。
附加地,分隔区可以形成为使得假如它们的一部分被包含在ROI中,则它们不会提供相对于被测量的性质的信号。复合目标结构可以在分量结构或组成结构之间形成有分隔区,使得一个或更多个感兴趣的区域的位置变化不会显著影响性质的测量。在一些实施例中的第二新特征在于所得到的数据的处理方式。尤其地,在图6所示的方法步骤中的步骤S4中选择感兴趣的区域(ROI)的方法有所改变。结合新目标设计的使用,在新技术中的ROI被选择成大于光栅图像,并且有意地包括全部条纹。在光栅之间的相对大的分隔允许ROI的边界延伸通过图像的与分隔区相对应的部分。因为分隔区被设计为不提供与感兴趣的测量相关的信号,所以这减小对ROI的精确定位的敏感度。使分隔区不提供信号的一种简单的方法是使得它们比分量目标表现得更暗,如已经提及的。然而,原理上,它们不需要表现为暗,以便对感兴趣的性质的测量没有影响。例如,如果在分隔区图像区域中的像素强度值大体上是恒定的,并且对重叠变化不敏感,则重叠测量结果将对ROI的精确定位的变化仍然是可以容忍的。
图7示出新设计的复合光栅目标。如在图4的已知目标中一样,有四个矩形(可选的,为正方形的)分量光栅32’、33’、34’和35’。这些光栅的形式和布局类似于图4中的光栅32-35的形式和布局,但是它们彼此分离开,并且通过分隔区80和82与它们的环境分离开。这些分隔区被形成为在传感器23检测到的暗场图像中形成清楚的、暗的区域。为了正确地印刷和处理目标,优选分隔区80和82不是完全空白的,而是填充有“伪”结构。这种伪结构可以例如是其节距比目标光栅的节距小很多、但密度差不多的光栅。这样,伪光栅的蚀刻负载(etch load)(曝光与未曝光抗蚀剂的比率)类似于目标光栅的蚀刻负载。因为小得多的节距,来自伪特征的+1和-1级衍射的角度远远大于对应目标光栅的角度,使得被伪结构衍射的光将不会通过暗场光瞳光阑,因此将不会干扰量测的测量结果。
为了简便而假设复合光栅目标是正方形的,典型的尺寸a、b、c和e被标记于结构的一边。在具有边a的正方形中,具有边b的分量光栅被宽度为c的分隔区80分离开。宽度为e的分隔区82围绕四个分量光栅。假设复合目标将以类似的复合目标被排成阵列,尺寸e可以小于尺寸c,例如为尺寸c大小的一半。代替将复合光栅目标制成为较大来容纳分隔区,建议减小光栅的大小、从而在不会全面增大复合目标的尺寸a的同时生成分隔区。
作为可能尺寸的示例,在一个实施例中,尺寸b=4μm的四个光栅32’-35’放置在a=10μm的复合目标区域内。光栅之间的分隔距离c是1μm,光栅与周边之间的分隔距离e是0.5μm。如果这样的目标并排放置(如图11中所示),则这导致不同复合目标内光栅之间的1μm的分隔距离。光栅的节距例如可以是在350-1050μm的范围内。对于印刷在重叠的层中的简单光栅,在光栅内的线宽通常为节距的50%,尽管这不是必须的。例如,节距可以是500nm(0.5μm),线宽例如为250nm。作为另一示例,节距可以是600nm(0.6μm)。优化节距将是将被用于测量的辐射的波长、孔和光瞳尺寸的函数。本领域技术人员将知道:当为线性、信号强度等等而进行优化时,理想线宽可以偏离50%。其他形式的重叠的光栅是可能的,而不仅仅是在两个层内。例如,可以应用两个光刻步骤来形成具有单一的抗蚀剂层或产品层的光栅。尤其地,在双重图案化过程中,应用多个光刻和/或处理步骤来提供具有小节距的交错的特征,所述小节距比使用单一光刻步骤能够形成的节距小。在每个掩模版中,线的线宽因此可能远远小于总节距的50%。由此,在单一抗蚀剂层或产品层中引起重叠误差和相关的不对称度,而不是在两个层之间。文中所描述的测量技术能够被等同地应用于那种情况中。术语“重叠的”光栅和“重叠”将被理解为覆盖通过多个图案化(例如,双重图案化)过程在单一抗蚀剂层或产品层中形成的光栅。
如在本领域中已知的,这些量测光栅特征的尺寸可以远远大于正在被制造的器件中的产品特征的临界尺寸。用于测量的光的波长可以远远长于用于光刻设备中的曝光的光波长。在分隔区中,伪结构可以具有与产品特征类似的尺寸。
如果需要,目标与周边之间的分隔距离c和e可以被增大。为了限制复合光栅所需的总的面积,光栅可以例如被减小至b=3μm。这将稍微减小来自这些光栅的信号的量,但是应该记得:在已知的技术中,对于5×5μm的光栅,ROI仅仅是3×3μm。
在光栅中的较小数量的线使得边缘线对于总的光栅区域的相对贡献较大。因此,需要考虑应用于光栅的光学邻近效应校正似的(OPC似的)特征之间的匹配以及具有伪结构的情况,以便为正确的第一和最后的线印刷进行优化。(在实际中,根据所述特定产品层的曝光条件,光栅线和伪结构的细节的形式将在每个光栅处被优化。)
图8示意性示出针对图7的目标、使用来自两个正交方向的照射,对应于图5的暗场图像。像场40’的与感兴趣的目标相对应的一部分示出在虚线边界矩形中。像场的区域42’至45’与各个光栅目标相对应。相比于图5中示出的理想化、均匀区域,图8更逼真地示出由边缘效应导致的强度的宽的变化。例如,在区域45’中,我们看到相对均匀的中心区域45’a的周边由亮的多的区域45’b构成。围绕光栅图像45’的这些组成单元的是较暗的区域90和92,分别对应于光栅目标中的分隔区80和82。我们还可以看到,由于衍射,每个分量光栅的影响延伸到严格与分量光栅相对应的图像区域的外侧。然而,在目标中的分隔区足够宽,使得暗图像区域90和92大体上不包括来自分量光栅的贡献。暗区域90和92自然地稍稍窄于被分隔区的尺寸严格地限制的宽度。如果边缘效应和衍射被光栅结构的改变很好地控制,则分隔区的所需的宽度能够稍微被减小。
根据文中所公开的新的原理,被白色点线方框94限定的感兴趣的区域(ROI)被选择成包括整个光栅图像45’和周围的暗区域90、92的部分。ROI的边界落入比任何感兴趣的图像特征暗许多的区域内。因此,在整体上ROI的边界定位上的任何微小的误差对ROI内的所测量的强度的影响非常小。相反地,在已知的技术中,诸如黑色虚线矩形96所指示的ROI等小得多的ROI将被选择,试图仅仅保持在均匀的区域45’a中。因为矩形96的边界落入整个光栅图像45’的相对亮的非均匀的部分中,使用已知的ROI选择技术所测量的强度对矩形或其他边界的精确定位的变化是高度敏感的。测量的精确性和可重复性可能会下降,尤其是在试图将目标缩入较小的空间时更是如此。
分隔区能够制成为多暗是设计选择的问题,并且在约束之间有折衷。出于模式识别的目的,使这些区域尽可能暗是非常便利的。如果分隔区中的伪结构的节距足够小、使得这些区结构的所产生的衍射级被过滤掉而不会传到照相装置,则这将会发生。它们将会被场阑21阻挡,可能甚至被物镜16阻挡。从测量信号的角度看,强度的不对称度(+1和-1级强度之间的差异)为零是重要的,而不必是强度本身为零。然而,该“背景”强度不应该具有类似梯度或左-右不对称度之类的变化,使得ROI位置误差又对信号的不对称度有贡献。因此,实际上最安全的方法是具有在分隔区内名义上为零的强度。
在这点上,设计的标准可以是:分隔区中的强度变化应该低于不对称度信号的0.1%。如果例如不对称度信号为光栅内的强度的10%,则整个分隔区上的强度变化应该低于光栅图像区域内的强度的10-4。相反地,这不是最严格的需求,不能满足这个标准,测量可能仍然是可用的。例如可以说,分隔区的图像中的强度变化应该低于10%,可选地低于1%,或者甚至低于0.1%。
再回来参考上述的数值示例,分量光栅的尺寸b可以例如为3或4μm,而ROI尺寸对应于4或5μm。因此,在每个方向上,分隔区可能占据复合目标尺寸的比例多于5%、多于10%或者甚至多于15%或20%。在复合目标定位成紧接于衬底上的另一复合目标的情形中,出于测量这些百分比标准的目的,在这些复合目标的边缘处的分隔区可以被认为是彼此重叠。如上所述,在设计该目标时可以采取措施来减小边缘效应的强度,这些措施绝不排除与本技术一起使用。
图9(a)和(b)示出复合光栅目标的可选形式,每个取向上具有多于两个的光栅。这样的目标可以被用于使用在先的专利申请US61/616398中所讨论的原理执行具有BGA校正的重叠测量,如上所述。在图9(a)中,在尺寸a乘3a/2的矩形面积中,有三个X方向光栅和三个Y方向光栅。在图9(b)中,在尺寸a乘2a的矩形面积中,在每个方向上具有四个分量光栅(总共是8个光栅)。如同图7所示的示例一样,分隔区设置在复合目标区域内的分量光栅之间或这些光栅的周围。重叠偏置方案在图9中被示出。目标(a)在每个方向上具有三个光栅,偏置分别为+d、0、-d。目标(b)具有四个光栅,这四个光栅具有偏置值d和次偏置值Δd的不同排列。偏置与次偏置值之间的区别是方便标记的问题。对于目标(b)中的四个光栅的偏置可以被重写为±d1、±d2,其中±d1=±(d-Δd),±d2=±(d+Δd)。
在图9的示例中,具有每个偏置值的X和Y光栅并排设置,虽然这不是必须的。X和Y方向光栅以交替的图案彼此穿插,使得不同的X光栅沿对角线间隔而不是彼此并排,Y光栅沿对角线间隔而不是彼此并排,这种布置可以帮助减少不同的偏置光栅的衍射信号之间的串扰。因此,整个布置允许紧凑的目标设计,而没有好的性能。
分量光栅之间的增大的间隔以及目标的暗场图像中的光栅图像之间的所形成的暗区域使得容易识别光栅图像的位置并且容易识别ROI。在以上所述的图7-9中示出的示例中,所有的光栅是正方形的,并且中间空间形成正常交叉。在另一实施例中,这些光栅可以放置为稍微偏离方形栅格,或者在形状上可以是矩形的,以便破坏目标的对称性。这可以提高用于更进一步发现图像中的目标的模式识别算法的精确度和鲁棒性。具有细长光栅的复合光栅结构例如在公开的专利申请US20120044470中描述。
图10示出在使用图1和2的光刻和量测设备制造的半导体器件的衬底上的量测区域900中所铺设的多个复合光栅目标。量测区域可以包括N×N复合目标的正方形阵列,其中一个阵列在虚线方框902中示出。在每个复合目标占据10×10μm的面积的情形中,如在上述示例中一样,6×6目标阵列可以布置在大小为60×60μm的量测区域中。该量测区域可以在衬底W上的产品区域C之间的划线内,并且可以在产品区域中。假设每个复合目标具有尺寸类似于图7中所示尺寸的分隔区,添加宽度为e’的外侧分隔区904,以确保目标光栅与周围产品特征之间的期望的最小间隔。应该注意,仅仅为了清楚起见,图10中的分隔区被留为空白。在实际中,它们将以与之前的实施例相同的方式填充以伪结构。
本领域技术人员将明白,图10中示出的所有的光栅将不处于半导体或其他产品的相同的层对中。替代地,复合目标中的一个将存在于两个层的图案中,这两个层的相对对准(重叠)将被测量。对于这两个层,各个成对的图案形成装置(诸如掩模版)将在适当的位置中被形成有光栅线和相关的特征,并且这些光栅线的位置根据期望的偏置方案偏移。对于区域900中的其他复合目标的位置将留为空白,以便用于其他层中。伪特征可以被包括在这样的“空白”区域中,以避免层之间的串扰。如已经提及的,特征可以被设计到目标中,以便于模式识别。在图10的图示中,X和Y方向光栅沿X和Y方向是稍微细长的。这会稍微破坏整个图案的对称性,使得暗场图像区域将在测量方法的步骤S4中被误识别的可能减小。替代以这样的方式破坏对称性,或者除了以这样的方式破坏对称性之外,具体的标记可以放置在分隔区中,以帮助识别。
结论
文中所公开的技术使得小量测目标的设计和使用能够实现重叠测量的大的精确度和可重复性。在具体实施过程中能够实现的具体的优点包括:减少ROI定位敏感度,因此具有较好的测量可重复性;由于光栅之间的空间增大而减小聚焦敏感度;导致更精确的重叠值和/或更大的生产率;由于光栅之间的较大空间而减小光栅至光栅的串扰,导致更精确的重叠值;由于以暗分隔区成像的能够较好识别的目标而改善图案识别;较大的ROI意味着对于像素强度测量的较大的有效区域;产生较好的可再现性。
所述技术与在基于小目标衍射的重叠测量中的其他技术相兼容,这些其他技术已经在上述的最近公开和未公开的专利申请中有所描述。例如,使用每个方向上具有三个或更多个不同偏置值的复合目标,计算可以产生被BGA校正的重叠测量,而不需要对顶部和底部光栅或者诸如BARC(抗反射涂层)等任何居间层进行建模。
虽然上述目标结构是为测量目的而具体设计和形成的量测目标,但是在其他实施例中,性质可以在作为形成在衬底上的器件的功能部分的目标上被测量。许多器件具有矩形的光栅状结构。文中所用的术语“目标光栅”和“目标结构”不需要结构已经被具体设置用于正在被执行的测量。
结合在衬底和图案形成装置上实现的目标的物理光栅结构,一实施例可以包括包含一个或更多个机器可读指令序列的计算机程序,所述指令用于描述在衬底上产生目标、测量在衬底上的目标和/或分析测量结果以获得关于光刻过程的信息的方法。该计算机程序可以例如在图3的设备中的单元PU和/或图2的控制单元LACU内执行。也可以设置具有其中存储有这种计算机程序的数据存储介质(例如,半导体存储器、磁盘或光盘)。在例如图3所示类型的现有的量测设备已经在生产和/或在使用的情形中,本发明可以通过提供更新的计算机程序产品而被实现,其中所述更新的计算机程序产品用于使得处理器执行修改的步骤S4并且因此计算重叠误差,而对于ROI定位误差的敏感度减小。可选地,该程序可以被布置用于控制光学系统、衬底支撑结构等等,以执行用于计算多个适当的目标结构上的不对称度的步骤S2-S5。
根据本发明的进一步的实施例在以下的编号的各项中被提供:
1.一种使用复合目标结构测量光刻过程的性质的方法,其中所述复合目标结构包括多个已经通过所述光刻过程形成在衬底上的分量结构,所述方法包括步骤:
(a)使用在预定的照射条件下被所述分量目标结构衍射的辐射的预定部分,形成和检测复合目标结构的图像;
(b)识别所检测的图像中的一个或更多个感兴趣的区域,所述或每个感兴趣的区域与所述分量目标结构中的一个特定分量结构相对应;以及
(c)处理感兴趣的区域中的像素值,以获得所述分量结构的性质的测量结果,
其中所述复合目标结构在所述分量结构之间形成有分隔区,使得所述一个或更多个感兴趣的区域的位置变化不会显著影响所述性质的所获得的测量结果。
2.根据第1项所述的方法,其中在步骤(c)中,所述感兴趣的区域被选择为它们的边界落入与分隔区相对应的图像区域中。
3.根据第1或2项所述的方法,其中所述分量结构包括重叠的光栅,并且在所述复合目标内的不同的分量结构形成有不同的重叠偏置值。
4.根据第3项所述的方法,其中所述分量结构包括重叠的光栅,并且在所述复合目标内的不同的分量结构形成有不同的取向,以测量不同方向上的重叠。
5.根据第1、2、3或4项所述的方法,其中使用衍射辐射的不同部分检测所述复合目标结构的两个或更多个图像,并且步骤(e)包括比较来自在所述图像中被识别的相应的感兴趣的区域的像素值,以获得所述一个或更多个分量结构的不对称度的测量结果。
6.根据任一前述项所述的方法,其中,在步骤(b)和(c)中,与至少两个分量结构相对应的感兴趣的区域在相同的被检测的图像中被识别,它们的像素值分别被处理。
7.根据任一前述项所述的方法,其中,所述分隔区沿给定方向占据所述分量结构的比例多于5%、可选地多于10%或者多于15%。
8.根据任一前述项所述的方法,其中,在所述复合目标结构中的分隔区包括填充结构,所述填充结构的平均密度与所述分量结构的平均密度类似、但具有更高的空间频率,从而被所述填充结构衍射的辐射落在在形成所述检测图像时所用的那部分辐射之外。
9.一种用于使用复合目标结构测量光刻过程的性质的检查设备,所述复合目标结构包括多个已经通过所述光刻过程形成在衬底上的分量结构,所述设备包括:
用于衬底的支撑件,所述衬底具有形成在其上的所述复合目标结构;
光学系统,所述光学系统用于在预定的照射条件下照射所述复合目标结构,并且用于使用在所述照射条件下被所述分量结构衍射的辐射的预定部分形成和检测所述复合目标结构的图像;
处理器,所述处理器被布置用于识别所述被检测的图像中的一个或更多个感兴趣的区域,所述或每个感兴趣的区域与所述分量目标结构中的一个特定分量目标结构相对应,以及被布置用于处理感兴趣的区域中的像素值,以获得所述分量结构的性质的测量结果,
其中所述处理器被布置用于识别感兴趣的区域,使得它们的边界落入与所述复合目标结构内的分量结构之间的分隔区相对应的图像区域中。
10.根据第9项所述的设备,其中所述分量结构包括形成在所述衬底上的两个层中的重叠的光栅,并且在所述复合目标内的不同的分量结构形成有不同的重叠偏置值。
11.根据第9或10项所述的设备,其中所述光学系统被布置用于使用所述衍射辐射的不同部分形成和检测同一复合目标结构的两个或更多个图像,并且所述处理器被布置用于比较来自在所述两个图像中被识别的相应的感兴趣的区域的像素值,以获得所述一个或更多个分量结构的不对称度的测量结果。
12.根据第9、10或11项所述的设备,其中所述处理器被布置用于识别与同一被检测的图像中的至少两个分量结构相对应的感兴趣的区域,并且被布置用于一起处理它们的像素值,以根据所述复合目标的已知的偏置方案获得测量结果。
13.一种用在如第1至8项中任一项所述的方法中的衬底,所述衬底具有至少一个复合目标结构,所述至少一个复合目标结构包括通过光刻过程形成在衬底上的多个分量结构,其中所述复合目标结构在所述分量结构之间形成有分隔区,其中在所述分隔区中所述复合目标结构被形成为在所述分量结构的暗场图像中表现为暗。
14.根据第13项所述的衬底,其中所述分隔区沿给定方向占据所述分量结构的比例多于5%、可选地多于10%或者多于15%。
15.根据第13或14项所述的衬底,其中多个复合目标结构形成在与制造的器件图案的层相对应的不同层中,每个复合目标结构包括重叠的光栅形式的分量结构,其中重叠的光栅具有不同的重叠偏置值和不同的取向。
16.一种计算机程序产品,包括机器可读指令,所述机器可读指令用于使得处理器执行上述第1至8项中任一项所述的方法的识别和处理步骤(b)和(c)。
17.一种用于使用复合目标结构测量光刻过程的性质的检查设备,所述复合目标结构包括多个已经通过所述光刻过程形成在衬底上的分量结构,所述设备包括:
用于衬底的支撑件,所述衬底具有形成在其上的所述复合目标结构;
光学系统,所述光学系统用于在预定的照射条件下照射所述复合目标结构,并且用于使用在所述照射条件下被所述分量结构衍射的辐射的预定部分形成和检测所述复合目标结构的图像;
处理器,所述处理器被布置用于识别所述被检测的图像中的一个或更多个感兴趣的区域,所述或每个感兴趣的区域与所述分量目标结构中的一个特定分量目标结构相对应,以及被布置用于处理感兴趣的区域中的像素值,以获得所述分量结构的性质的测量结果,
其中所述处理器被布置用于至少部分地通过识别与所述复合目标结构内的分量结构之间的分隔区相对应的图像区域来识别感兴趣的区域以及识别所述复合目标的位置。
18.一种光刻系统,包括:
光刻设备,包括:
布置用于照射图案的照射光学系统;
投影光学系统,被布置用于将图案的图像投影到衬底上;以及
根据第9至12项和第17项中任一项所述的检查设备,
其中所述光刻设备被布置成在将图案应用于其他衬底时使用来自所述检查设备的测量结果。
19.一种制造器件的方法,其中使用光刻过程将器件图案应用于一系列衬底,所述方法包括使用第1至8项中任一项所述的检查方法检查作为所述器件图案形成在至少一个衬底上的至少一个复合目标结构或者检查形成在至少一个衬底上的器件图案旁边的至少一个复合目标结构,并且根据所述检查方法的结果控制用于后续衬底的光刻方法。
20.一种方法,包括:
使用在预定的照射条件下被分量结构衍射的辐射的预定部分检测复合目标结构的图像;
识别所述被检测的图像中的一个或更多个感兴趣的区域,所述或每一个感兴趣的区域与所述分量结构中的一个特定分量结构相对应;以及
处理在感兴趣的区域内的像素值,以获得所述分量结构的性质的测量结果,
其中所述复合目标结构在分量结构之间形成有分隔区,使得一个或更多个感兴趣的区域的位置的变化不会显著地影响所述性质的所获得的测量结果。
21.根据第20项所述的方法,其中在处理过程中感兴趣的区域被选择,它们的边界落入与所述分隔区相对应的图像区域中。
22.根据第20项所述的方法,其中所述分量结构包括重叠的光栅,并且在所述复合目标内的不同的分量结构形成有不同的重叠偏置值。
23.根据第22项所述的方法,其中所述分量结构包括重叠的光栅,并且在所述复合目标内的不同的分量结构形成有不同的取向,以测量不同方向上的重叠。
24.根据第20项所述的方法,其中使用所述衍射辐射的不同部分检测所述复合目标结构的两个或更多个图像,所述方法还包括比较来自在所述图像中被识别的相应的感兴趣的区域的像素值,以获得所述一个或更多个分量结构的不对称度的测量结果。
25.根据第20项所述的方法,其中在识别和处理过程中,与至少两个分量结构相对应的感兴趣的区域在同一被检测的图像中被识别,它们的像素值分别被处理。
26.根据第20项所述的方法,其中所述分隔区沿给定方向占据所述分量结构的比例多于5%、多于10%或者多于15%。
27.根据第20项所述的方法,其中在所述复合目标结构中的分隔区包括填充结构,所述填充结构的平均密度与所述分量结构的平均密度类似、但具有更高的空间频率,从而被所述填充结构衍射的辐射落在形成所述检测图像时所用的那部分辐射之外。
28.一种检查设备,包括:
支撑件,所述支撑件被配置用于支撑衬底,所述衬底具有形成在其上的所述复合目标结构;
光学系统,所述光学系统被配置用于在预定的照射条件下照射所述复合目标结构,并且被配置用于使用在所述照射条件下被所述分量结构衍射的辐射的预定部分形成和检测所述复合目标结构的图像;
处理器,所述处理器被布置用于识别所述被检测的图像中的一个或更多个感兴趣的区域,所述或每个感兴趣的区域与所述分量结构中的一个特定分量结构相对应,以及被布置用于处理感兴趣的区域中的像素值,以获得所述分量结构的性质的测量结果,
其中所述处理器被布置用于识别感兴趣的区域,使得它们的边界落入与所述复合目标结构内的分量结构之间的分隔区相对应的图像区域中。
29.根据第28项所述的设备,其中:
所述分量结构包括形成在所述衬底上的两个层中的重叠的光栅,并且在所述复合目标内的不同的分量结构形成有不同的重叠偏置值。
30.根据第28项所述的设备,其中:
所述光学系统被布置用于使用所述衍射辐射的不同部分形成和检测同一复合目标结构的两个或更多个图像,并且所述处理器被布置用于比较来自在所述两个图像中被识别的相应的感兴趣的区域的像素值,以获得所述一个或更多个分量结构的不对称度的测量结果。
31.根据第28项所述的设备,其中所述处理器被布置用于识别与同一被检测的图像中的至少两个分量结构相对应的感兴趣的区域,并且被布置用于一起处理它们的像素值,以根据所述复合目标的已知的偏置配置获得测量结果。
32.一种衬底,包括:
至少一个复合目标结构,所述至少一个复合目标结构包括通过光刻过程形成在衬底上的多个分量结构,
其中所述复合目标结构在所述分量结构之间形成有分隔区,
其中在所述分隔区中所述复合目标结构被形成为在所述分量结构的暗场图像中表现为暗。
33.根据第32项所述的衬底,其中所述分隔区沿给定方向占据所述分量结构的比例多于5%、多于10%或者多于15%。
34.根据第32项所述的衬底,其中多个复合目标结构形成在与制造的器件图案的层相对应的不同层中,每个复合目标结构包括重叠的光栅形式的分量结构,其中重叠的光栅具有不同的重叠偏置值和不同的取向。
35.一种计算机可读介质,具有存储在其上的计算机可执行指令,通过计算装置进行的计算机可执行指令的执行使得所述计算装置执行以下操作:
使用在预定的照射条件下被分量结构衍射的辐射的预定部分检测复合目标结构的图像;
识别所述被检测的图像中的一个或更多个感兴趣的区域,所述或每一个感兴趣的区域与所述分量结构中的一个特定分量结构相对应;以及
处理在感兴趣的区域内的像素值,以获得所述分量结构的性质的测量结果,
其中所述复合目标结构在分量结构之间形成有分隔区,
其中在所述分隔区内,所述复合目标结构被形成为提供被检测图像中的不会被所述分量结构所衍射的辐射显著影响的区域。
36.一种检查设备,包括:
支撑件,所述支撑件被配置用于支撑衬底,所述衬底具有形成在其上的所述复合目标结构;
光学系统,所述光学系统被配置用于在预定的照射条件下照射所述复合目标结构,并且被配置用于使用在所述照射条件下被所述分量结构衍射的辐射的预定部分形成和检测所述复合目标结构的图像;以及
处理器,所述处理器被布置用于识别被检测的图像中的一个或更多个感兴趣的区域,所述或每个感兴趣的区域与所述分量结构中的一个特定分量结构相对应,以及被布置用于处理感兴趣的区域中的像素值,以获得所述分量结构的性质的测量结果,
其中所述处理器被布置用于至少部分地通过识别与所述复合目标结构内的分量结构之间的分隔区相对应的图像区域来识别感兴趣的区域以及识别所述复合目标的位置。
37.一种光刻系统,包括:
光刻设备,包括:
布置用于照射图案的照射光学系统;
投影光学系统,被布置用于将图案的图像投影到衬底上;以及检查设备,包括:
支撑件,所述支撑件被配置用于支撑衬底,所述衬底具有形成在其上的所述复合目标结构;
光学系统,所述光学系统被配置用于在预定的照射条件下照射所述复合目标结构,并且被配置用于使用在所述照射条件下被分量结构衍射的辐射的预定部分形成和检测所述复合目标结构的图像;以及
处理器,所述处理器被布置用于识别所述被检测的图像中的一个或更多个感兴趣的区域,所述或每个感兴趣的区域与所述分量结构中的一个特定分量结构相对应,以及被布置用于处理感兴趣的区域中的像素值,以获得所述分量结构的性质的测量结果,
其中所述处理器被布置用于识别感兴趣的区域,使得它们的边界落入与所述复合目标结构内的分量结构之间的分隔区相对应的图像区域中,
其中所述光刻设备被布置成在将图案应用于其他衬底时使用来自所述检查设备的测量结果。
38.一种制造器件的方法,包括:
使用光刻过程将图案应用于一系列衬底;
使用检查方法检查作为形成在至少一个衬底上的所述器件图案的至少一个复合目标结构或者检查形成在至少一个衬底上的器件图案旁边的至少一个复合目标结构,所述检查方法包括:
使用在预定的照射条件下被分量结构衍射的辐射的预定部分检测复合目标结构的图像;
识别所述被检测的图像中的一个或更多个感兴趣的区域,所述或每一个感兴趣的区域与所述分量结构中的一个特定分量结构相对应;以及
处理在感兴趣的区域内的像素值,以获得所述分量结构的性质的测量结果,
其中所述复合目标结构在分量结构之间形成有分隔区,
其中在所述分隔区内,所述复合目标结构被形成为提供所述被检测图像中的不会被所述分量结构所衍射的辐射显著影响的区域;以及
根据所述检查方法的结果控制用于后续衬底的光刻方法。
39.一种使用复合目标结构测量光刻过程的性质的方法,其中所述复合目标结构包括多个已经通过所述光刻过程形成在衬底上的分量结构,所述方法包括步骤:
(a)使用在预定的照射条件下被所述分量目标结构衍射的辐射的预定部分,形成和检测复合目标结构的图像;
(b)识别所检测的图像中的一个或更多个感兴趣的区域,所述或每个感兴趣的区域与所述分量目标结构中的一个特定分量目标结构相对应;以及
(c)处理感兴趣的区域中的像素值,以获得所述分量结构的性质的测量结果,
其中所述复合目标结构在所述分量结构之间形成有分隔区,其中在所述分隔区内,所述复合目标结构被形成为提供在步骤(b)中被检测的图像中的不会被由所述分量结构所衍射的辐射显著影响的区域。
40.一种方法,包括:
使用在预定的照射条件下被分量结构衍射的辐射的预定部分,检测复合目标结构的图像;
识别所检测的图像中的一个或更多个感兴趣的区域,所述或每个感兴趣的区域与所述分量结构中的一个特定分量结构相对应;以及
处理感兴趣的区域中的像素值,以获得所述分量结构的性质的测量结果,
其中所述复合目标结构在所述分量结构之间形成有分隔区,
其中在所述分隔区内,所述复合目标结构被形成为提供在被检测的图像中的不会被所述分量结构所衍射的辐射显著影响的区域。
虽然上文已经做出了具体参考,将本发明的实施例用于光学光刻术的情况中,应该注意到,本发明可以用在其它的应用中,例如压印光刻术,并且只要情况允许,不局限于光学光刻术。在压印光刻术中,图案形成装置中的形貌限定了在衬底上产生的图案。可以将所述图案形成装置的拓扑印刷到提供给所述衬底的抗蚀剂层中,在其上通过施加电磁辐射、热、压力或其组合来使所述抗蚀剂固化。在所述抗蚀剂固化之后,所述图案形成装置被从所述抗蚀剂上移走,并在抗蚀剂中留下图案。
这里使用的术语“辐射”和“束”包含全部类型的电磁辐射,包括:紫外辐射(UV)(例如具有或约为365、355、248、193、157或126nm的波长)和极紫外(EUV)辐射(例如具有在5-20nm范围内的波长),以及粒子束,例如离子束或电子束。
在允许的情况下,术语“透镜”可以表示各种类型的光学部件中的任何一种或其组合,包括折射式的、反射式的、磁性的、电磁的以及静电的光学部件。
具体实施例的前述说明将充分地揭示本发明的一般属性,以致于其他人通过应用本领域技术的知识可以在不需要过多的实验、不背离本发明的整体构思的情况下针对于各种应用容易地修改和/或适应这样的具体实施例。因此,基于这里给出的教导和启示,这种修改和适应应该在所公开的实施例的等价物的范围和含义内。应该理解,这里的术语或措辞是为了举例描述的目的,而不是限制性的,使得本说明书的术语或措辞由本领域技术人员根据教导和启示进行解释。
本发明的覆盖度和范围不应该受上述的示例性实施例的任何一个限制,而应该仅根据随附的权利要求及其等价物限定。
应该认识到,具体实施例部分,而不是发明内容和摘要部分,用于解释权利要求。发明内容和摘要部分可以阐述本发明人所构思的本发明的一个或更多个示例性实施例、但不是全部示例性实施例,因而不能够以任何方式限制本发明和随附的权利要求。
上面借助示出具体功能及其关系的实施方式的功能性构造块描述了本发明。为了方便说明,这些功能性构造块的边界在此任意限定。可以限定替代的边界,只要特定功能及其关系被适当地执行即可。
具体实施例的前述说明将充分地揭示本发明的一般属性,以致于其他人通过应用本领域技术的知识可以在不需要过多的实验、不背离本发明的整体构思的情况下针对于各种应用容易地修改和/或适应这样的具体实施例。因此,基于这里给出的教导和启示,这种修改和适应应该在所公开的实施例的等价物的范围和含义内。应该理解,这里的术语或措辞是为了描述的目的,而不是限制性的,使得本说明书的术语或措辞由本领域技术人员根据教导和启示进行解释。
本发明的覆盖度和范围不应该受到上述的示例性实施例中的任一个限制,而应该仅根据随附的权利要求及其等价物限定。

Claims (19)

1.一种使用复合目标结构测量光刻过程的性质的方法,其中所述复合目标结构包括多个已经通过所述光刻过程形成在衬底上的分量结构,所述方法包括步骤:
(a)使用在预定的照射条件下被所述分量结构衍射的辐射的预定部分,形成和检测复合目标结构的图像;
(b)识别所检测的图像中的一个或更多个感兴趣的区域,所述或每个感兴趣的区域与所述分量结构中的一个特定分量结构相对应;以及
(c)处理感兴趣的区域中的像素值,以获得所述分量结构的性质的测量结果,
其中所述复合目标结构在所述分量结构之间形成有分隔区,使得所述一个或更多个感兴趣的区域的位置变化不会显著影响所获得的所述性质的测量结果。
2.根据权利要求1所述的方法,其中在步骤(c)中,所述感兴趣的区域被选择为使得它们的边界落入与所述分隔区相对应的图像区域中。
3.根据权利要求1或2所述的方法,其中所述分量结构包括重叠的光栅,并且在所述复合目标结构内的不同的分量结构形成有不同的重叠偏置值。
4.根据权利要求3所述的方法,其中所述分量结构包括重叠的光栅,并且在所述复合目标结构内的不同的分量结构形成有不同的取向,以测量不同方向上的重叠。
5.根据权利要求1、2、3或4所述的方法,其中使用所衍射的辐射的不同部分检测所述复合目标结构的两个或更多个图像,并且步骤(e)包括比较来自在所述图像中被识别的相应的感兴趣的区域的像素值,以获得所述一个或更多个分量结构的不对称度的测量结果。
6.根据前述任一项权利要求所述的方法,其中,在步骤(b)和(c)中,与至少两个分量结构相对应的感兴趣的区域在同一被检测的图像中被识别,并且它们的像素值被分别处理。
7.根据前述任一项权利要求所述的方法,其中,所述分隔区沿给定方向占据所述分量结构的比例多于5%、可选地多于10%或者多于15%。
8.根据前述任一项权利要求所述的方法,其中,在所述复合目标结构中的分隔区包括填充结构,所述填充结构的平均密度与所述分量结构的平均密度类似、但具有更高的空间频率,从而被所述填充结构衍射的辐射落在形成所检测的图像时所用的辐射部分之外。
9.一种用于使用复合目标结构测量光刻过程的性质的检查设备,所述复合目标结构包括多个已经通过所述光刻过程形成在衬底上的分量结构,所述检查设备包括:
用于衬底的支撑件,所述衬底具有形成在其上的所述复合目标结构;
光学系统,所述光学系统用于在预定的照射条件下照射所述复合目标结构,并且用于使用在所述照射条件下被所述分量结构衍射的辐射的预定部分形成和检测所述复合目标结构的图像;
处理器,所述处理器被布置用于识别被检测的图像中的一个或更多个感兴趣的区域,所述或每个感兴趣的区域与所述分量结构中的一个特定分量结构相对应,以及被布置用于处理感兴趣的区域中的像素值,以获得所述分量结构的性质的测量结果,
其中所述处理器被布置用于识别感兴趣的区域,使得它们的边界落入与所述复合目标结构内的分量结构之间的分隔区相对应的图像区域中。
10.根据权利要求9所述的检查设备,其中所述分量结构包括形成在所述衬底上的两个层中的重叠的光栅,并且在所述复合目标内的不同的分量结构形成有不同的重叠偏置值。
11.根据权利要求9或10所述的检查设备,其中所述光学系统被布置用于使用所衍射的辐射的不同部分形成和检测同一复合目标结构的两个或更多个图像,并且所述处理器被布置用于比较来自在所述两个图像中被识别的相应的感兴趣的区域的像素值,以获得所述一个或更多个分量结构的不对称度的测量结果。
12.根据权利要求9、10或11所述的检查设备,其中所述处理器被布置用于识别与同一被检测的图像中的至少两个分量结构相对应的感兴趣的区域,并且被布置用于一起处理它们的像素值,以根据所述复合目标结构的已知的偏置方案获得测量结果。
13.一种用在根据权利要求1至8中任一项所述的方法中的衬底,所述衬底具有至少一个复合目标结构,所述至少一个复合目标结构包括通过光刻过程形成在衬底上的多个分量结构,其中所述复合目标结构在所述分量结构之间形成有分隔区,其中在所述分隔区中所述复合目标结构被形成为在所述分量结构的暗场图像中表现为暗。
14.根据权利要求13所述的衬底,其中所述分隔区沿给定方向占据所述分量结构的比例多于5%、可选地多于10%或者多于15%。
15.根据权利要求13或14所述的衬底,其中多个复合目标结构形成在与制造的器件图案的层相对应的不同层中,每个复合目标结构包括呈重叠的光栅形式的分量结构,其中所述重叠的光栅具有不同的重叠偏置值和不同的取向。
16.一种计算机程序产品,包括机器可读指令,所述机器可读指令用于使得处理器执行上述权利要求1至8中任一项所述的方法的识别和处理步骤(b)和(c)。
17.一种用于使用复合目标结构测量光刻过程的性质的检查设备,所述复合目标结构包括多个已经通过所述光刻过程形成在衬底上的分量结构,所述检查设备包括:
用于衬底的支撑件,所述衬底具有形成在其上的所述复合目标结构;
光学系统,所述光学系统用于在预定的照射条件下照射所述复合目标结构,并且用于使用在所述照射条件下被所述分量结构衍射的辐射的预定部分形成和检测所述复合目标结构的图像;
处理器,所述处理器被布置用于识别被检测的图像中的一个或更多个感兴趣的区域,所述或每个感兴趣的区域与所述分量结构中的一个特定分量结构相对应,以及被布置用于处理感兴趣的区域中的像素值,以获得所述分量结构的性质的测量结果,
其中所述处理器被布置用于至少部分地通过识别与所述复合目标结构内的分量结构之间的分隔区相对应的图像区域来识别感兴趣的区域以及识别所述复合目标结构的位置。
18.一种光刻系统,包括:
光刻设备,包括:
布置用于照射图案的照射光学系统;
投影光学系统,被布置用于将所述图案的图像投影到衬底上;以及
根据权利要求9至12和17中任一项所述的检查设备,
其中所述光刻设备被布置成在将所述图案应用于其他衬底时使用来自所述检查设备的测量结果。
19.一种制造器件的方法,其中使用光刻过程将器件图案应用于一系列衬底,所述方法包括使用权利要求1至8中任一项所述的检查方法检查作为在至少一个衬底上的所述器件图案的一部分形成的至少一个复合目标结构或者检查在至少一个衬底上的器件图案旁边形成的至少一个复合目标结构,并且根据所述检查方法的结果控制用于后续衬底的光刻过程。
CN201380027929.7A 2012-05-29 2013-05-01 量测方法和设备、衬底、光刻系统以及器件制造方法 Active CN104350424B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201261652552P 2012-05-29 2012-05-29
US61/652,552 2012-05-29
PCT/EP2013/059061 WO2013178422A1 (en) 2012-05-29 2013-05-01 Metrology method and apparatus, substrate, lithographic system and device manufacturing method

Publications (2)

Publication Number Publication Date
CN104350424A true CN104350424A (zh) 2015-02-11
CN104350424B CN104350424B (zh) 2018-01-09

Family

ID=48227304

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201380027929.7A Active CN104350424B (zh) 2012-05-29 2013-05-01 量测方法和设备、衬底、光刻系统以及器件制造方法

Country Status (8)

Country Link
US (2) US9535338B2 (zh)
JP (1) JP6077647B2 (zh)
KR (1) KR101759608B1 (zh)
CN (1) CN104350424B (zh)
IL (2) IL235833B (zh)
NL (1) NL2010734A (zh)
TW (1) TWI497233B (zh)
WO (1) WO2013178422A1 (zh)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108061973A (zh) * 2016-11-08 2018-05-22 三星电子株式会社 定向背光单元及包括该定向背光单元的图像显示设备
CN108369390A (zh) * 2015-12-15 2018-08-03 Asml荷兰有限公司 光刻设备和器件制造方法
CN108700823A (zh) * 2016-02-22 2018-10-23 Asml荷兰有限公司 对量测数据的贡献的分离
CN108962776A (zh) * 2017-05-26 2018-12-07 台湾积体电路制造股份有限公司 半导体装置及其制造方法和覆盖误差的测量方法
CN109216221A (zh) * 2017-06-29 2019-01-15 台湾积体电路制造股份有限公司 半导体装置的量测方法
CN113538384A (zh) * 2021-07-19 2021-10-22 凌云光技术股份有限公司 一种特征的定位方法及装置

Families Citing this family (175)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL2005162A (en) * 2009-07-31 2011-02-02 Asml Netherlands Bv Methods and scatterometers, lithographic systems, and lithographic processing cells.
WO2013178422A1 (en) 2012-05-29 2013-12-05 Asml Netherlands B.V. Metrology method and apparatus, substrate, lithographic system and device manufacturing method
WO2014062972A1 (en) * 2012-10-18 2014-04-24 Kla-Tencor Corporation Symmetric target design in scatterometry overlay metrology
JP6285666B2 (ja) * 2013-09-03 2018-02-28 キヤノン株式会社 検出装置、リソグラフィ装置、物品の製造方法及び検出方法
CN105814491B (zh) 2013-10-30 2017-12-05 Asml荷兰有限公司 检查设备和方法、具有量测目标的衬底、光刻系统和器件制造方法
US9958790B2 (en) 2013-12-19 2018-05-01 Asml Netherlands B.V. Inspection methods, substrates having metrology targets, lithographic system and device manufacturing method
CN106164775B (zh) * 2014-02-03 2019-07-19 Asml荷兰有限公司 量测方法和设备、衬底、光刻系统和器件制造方法
CN106030414B (zh) * 2014-02-21 2018-10-09 Asml荷兰有限公司 目标布置的优化和相关的目标
WO2015172963A1 (en) 2014-05-13 2015-11-19 Asml Netherlands B.V. Substrate and patterning device for use in metrology, metrology method and device manufacturing method
CN106462076B (zh) 2014-06-02 2018-06-22 Asml荷兰有限公司 设计度量目标的方法、具有度量目标的衬底、测量重叠的方法、以及器件制造方法
KR101948912B1 (ko) 2014-07-09 2019-02-15 에이에스엠엘 네델란즈 비.브이. 검사 장치, 검사 방법 및 디바이스 제조 방법
NL2015160A (en) 2014-07-28 2016-07-07 Asml Netherlands Bv Illumination system, inspection apparatus including such an illumination system, inspection method and manufacturing method.
CN107924132B (zh) 2014-08-28 2021-02-12 Asml荷兰有限公司 检查设备、检查方法和制造方法
KR101986258B1 (ko) 2014-08-29 2019-06-07 에이에스엠엘 네델란즈 비.브이. 메트롤로지 방법, 타겟 및 기판
WO2016030227A1 (en) 2014-08-29 2016-03-03 Asml Netherlands B.V. Method for controlling a distance between two objects, inspection apparatus and method
CN107077079B (zh) * 2014-09-01 2018-12-14 Asml荷兰有限公司 测量目标结构的属性的方法、检查设备、光刻系统和器件制造方法
WO2016045945A1 (en) 2014-09-26 2016-03-31 Asml Netherlands B.V. Inspection apparatus and device manufacturing method
WO2016050453A1 (en) 2014-10-03 2016-04-07 Asml Netherlands B.V. Focus monitoring arrangement and inspection apparatus including such an arragnement
CN107111245B (zh) 2014-12-19 2019-10-18 Asml荷兰有限公司 测量非对称性的方法、检查设备、光刻系统及器件制造方法
WO2016124345A1 (en) 2015-02-04 2016-08-11 Asml Netherlands B.V. Metrology method, metrology apparatus and device manufacturing method
NL2016121A (en) 2015-02-06 2016-09-29 Asml Netherlands Bv A method and apparatus for improving measurement accuracy
WO2016156360A1 (en) 2015-04-03 2016-10-06 Asml Netherlands B.V. Inspection apparatus for measuring properties of a target structure
KR102048794B1 (ko) 2015-04-21 2020-01-08 에이에스엠엘 네델란즈 비.브이. 계측 방법 및 장치, 컴퓨터 프로그램 및 리소그래피 시스템
US10551165B2 (en) * 2015-05-01 2020-02-04 Adarza Biosystems, Inc. Methods and devices for the high-volume production of silicon chips with uniform anti-reflective coatings
KR102066588B1 (ko) 2015-06-12 2020-01-15 에이에스엠엘 네델란즈 비.브이. 검사 장치, 검사 방법, 리소그래피 장치, 패터닝 디바이스 및 제조 방법
NL2016925A (en) 2015-06-18 2016-12-22 Asml Netherlands Bv Method of metrology, inspection apparatus, lithographic system and device manufacturing method
NL2017123A (en) 2015-07-24 2017-01-24 Asml Netherlands Bv Inspection apparatus, inspection method, lithographic apparatus and manufacturing method
NL2017300A (en) * 2015-08-27 2017-03-01 Asml Netherlands Bv Method and apparatus for measuring a parameter of a lithographic process, substrate and patterning devices for use in the method
DE102015221773A1 (de) * 2015-11-05 2017-05-11 Carl Zeiss Smt Gmbh Verfahren und Vorrichtung zur Charakterisierung eines durch wenigstens einen Lithographieschritt strukturierten Wafers
NL2017739A (en) * 2015-11-27 2017-06-07 Asml Netherlands Bv Metrology target, method and apparatus, computer program and lithographic system
NL2017933A (en) 2015-12-18 2017-06-26 Asml Netherlands Bv Focus monitoring arrangement and inspection apparatus including such an arrangement
SG11201804232QA (en) 2015-12-21 2018-06-28 Asml Netherlands Bv Methods and patterning devices and apparatuses for measuring focus performance of a lithographic apparatus, device manufacturing method
NL2017844A (en) 2015-12-22 2017-06-28 Asml Netherlands Bv Focus control arrangement and method
CN108431692B (zh) 2015-12-23 2021-06-18 Asml荷兰有限公司 量测方法、量测设备和器件制造方法
CN108700824B (zh) 2016-02-19 2021-02-02 Asml荷兰有限公司 测量结构的方法、检查设备、光刻系统、器件制造方法和其中使用的波长选择滤光器
JP6703612B2 (ja) 2016-02-26 2020-06-03 エーエスエムエル ネザーランズ ビー.ブイ. 構造を測定する方法、検査装置、リソグラフィシステム、およびデバイス製造方法
WO2017178285A1 (en) 2016-04-15 2017-10-19 Asml Netherlands B.V. Method for adjusting actuation of a lithographic apparatus
KR20190015553A (ko) 2016-06-09 2019-02-13 에이에스엠엘 네델란즈 비.브이. 계측 장치
EP3293574A1 (en) * 2016-09-09 2018-03-14 ASML Netherlands B.V. Metrology method, apparatus and computer program
EP3309616A1 (en) 2016-10-14 2018-04-18 ASML Netherlands B.V. Method of inspecting a substrate, metrology apparatus, and lithographic system
EP3321737A1 (en) 2016-11-10 2018-05-16 ASML Netherlands B.V. Method for determining an optimized set of measurement locations for measurement of a parameter of a lithographic process, metrology system
EP3336605A1 (en) 2016-12-15 2018-06-20 ASML Netherlands B.V. Method of measuring a structure, inspection apparatus, lithographic system and device manufacturing method
EP3336607A1 (en) 2016-12-16 2018-06-20 ASML Netherlands B.V. Method of measuring a property of a substrate, inspection apparatus, lithographic system and device manufacturing method
EP3336606A1 (en) 2016-12-16 2018-06-20 ASML Netherlands B.V. Method for monitoring a characteristic of illumination from a metrology apparatus
EP3343294A1 (en) 2016-12-30 2018-07-04 ASML Netherlands B.V. Lithographic process & apparatus and inspection process and apparatus
KR102468155B1 (ko) 2017-02-07 2022-11-17 에이에스엠엘 네델란즈 비.브이. 하전 입자 검출 방법 및 장치
JP2020519928A (ja) 2017-05-08 2020-07-02 エーエスエムエル ネザーランズ ビー.ブイ. 構造を測定する方法、検査装置、リソグラフィシステム、及びデバイス製造方法
EP3401733A1 (en) 2017-05-08 2018-11-14 ASML Netherlands B.V. Method of measuring a structure, inspection apparatus, lithographic system and device manufacturing method
WO2018215177A1 (en) 2017-05-24 2018-11-29 Asml Netherlands B.V. Method of measuring a parameter of interest, inspection apparatus, lithographic system and device manufacturing method
EP3422103A1 (en) 2017-06-26 2019-01-02 ASML Netherlands B.V. Method of determining a performance parameter of a process
EP3422102A1 (en) 2017-06-26 2019-01-02 ASML Netherlands B.V. Methods and patterning devices and apparatuses for measuring focus performance of a lithographic apparatus, device manufacturing method
EP3447580A1 (en) 2017-08-21 2019-02-27 ASML Netherlands B.V. Method of calibrating focus measurements, measurement method and metrology apparatus, lithographic system and device manufacturing method
US10204867B1 (en) * 2017-08-31 2019-02-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor metrology target and manufacturing method thereof
EP3451061A1 (en) 2017-09-04 2019-03-06 ASML Netherlands B.V. Method for monitoring a manufacturing process
EP3454127A1 (en) 2017-09-11 2019-03-13 ASML Netherlands B.V. Methods and patterning devices and apparatuses for measuring focus performance of a lithographic apparatus, device manufacturing method
WO2019048147A1 (en) 2017-09-11 2019-03-14 Asml Netherlands B.V. METHODS AND DEVICES FOR FORMING PATTERNS AND APPARATUSES FOR MEASURING THE PERFORMANCE OF DEVELOPING A LITHOGRAPHIC APPARATUS, AND DEVICE MANUFACTURING METHOD
CN111133384B (zh) 2017-09-22 2022-04-15 Asml荷兰有限公司 用于确定图案化过程参数的方法
EP3470924A1 (en) 2017-10-11 2019-04-17 ASML Netherlands B.V. Method of optimizing the position and/or size of a measurement illumination spot relative to a target on a substrate, and associated apparatus
EP3492984A1 (en) 2017-12-04 2019-06-05 ASML Netherlands B.V. Measurement method, inspection apparatus, patterning device, lithographic system and device manufacturing method
WO2019110211A1 (en) 2017-12-04 2019-06-13 Asml Netherlands B.V. Measurement method, patterning device and device manufacturing method
EP3495888A1 (en) 2017-12-06 2019-06-12 ASML Netherlands B.V. Method for controlling a lithographic apparatus and associated apparatuses
EP3495889A1 (en) 2017-12-07 2019-06-12 ASML Netherlands B.V. Method for controlling a manufacturing apparatus and associated apparatuses
EP3499311A1 (en) 2017-12-14 2019-06-19 ASML Netherlands B.V. Method for controlling a manufacturing apparatus and associated aparatuses
WO2019160431A1 (ru) * 2018-02-14 2019-08-22 Константин Александрович ШАВРИН Устройство и способ записи информации на магнитный носитель информации
EP3528047A1 (en) 2018-02-14 2019-08-21 ASML Netherlands B.V. Method and apparatus for measuring a parameter of interest using image plane detection techniques
KR20200125986A (ko) 2018-03-29 2020-11-05 에이에스엠엘 네델란즈 비.브이. 스캐닝 노광 장치를 위한 제어 방법
EP3547029A1 (en) 2018-03-29 2019-10-02 ASML Netherlands B.V. Control method for a scanning exposure apparatus
EP3547030A1 (en) 2018-03-29 2019-10-02 ASML Netherlands B.V. Method for evaluating control strategies in a semicondcutor manufacturing process
WO2019185233A1 (en) 2018-03-29 2019-10-03 Asml Netherlands B.V. Method for evaluating control strategies in a semicondcutor manufacturing process
WO2019242922A1 (en) 2018-06-19 2019-12-26 Asml Netherlands B.V. Method for controlling a manufacturing apparatus and associated apparatuses
EP3584637A1 (en) 2018-06-19 2019-12-25 ASML Netherlands B.V. Method for controlling a manufacturing apparatus and associated apparatuses
EP3588190A1 (en) 2018-06-25 2020-01-01 ASML Netherlands B.V. Method for performing a manufacturing process and associated apparatuses
EP3611570A1 (en) 2018-08-16 2020-02-19 ASML Netherlands B.V. Method for controlling a manufacturing process and associated apparatuses
EP3623869A1 (en) 2018-09-14 2020-03-18 ASML Netherlands B.V. Method for measuring a parameter of a structure formed using a lithographic process
NL2023709A (en) 2018-09-19 2020-04-30 Asml Netherlands Bv Metrology sensor for position metrology
EP3637187A1 (en) 2018-10-12 2020-04-15 ASML Netherlands B.V. Method for measuring focus performance of a lithographic apparatus
EP3654103A1 (en) 2018-11-14 2020-05-20 ASML Netherlands B.V. Method for obtaining training data for training a model of a semicondcutor manufacturing process
EP3657256A1 (en) 2018-11-20 2020-05-27 ASML Netherlands B.V. Methods and patterning devices and apparatuses for measuring focus performance of a lithographic apparatus, device manufacturing method
EP3657257A1 (en) 2018-11-26 2020-05-27 ASML Netherlands B.V. Method for of measuring a focus parameter relating to a structure formed using a lithographic process
WO2020126257A1 (en) 2018-12-20 2020-06-25 Asml Netherlands B.V. Metrology sensor, illumination system and method of generating measurement illumination with a configurable illumination spot diameter
EP3715951A1 (en) 2019-03-28 2020-09-30 ASML Netherlands B.V. Position metrology apparatus and associated optical elements
WO2020141050A1 (en) 2018-12-31 2020-07-09 Asml Netherlands B.V. Position metrology apparatus and associated optical elements
US11733615B2 (en) 2019-01-03 2023-08-22 Asml Netherlands B.V. Methods and patterning devices and apparatuses for measuring focus performance of a lithographic apparatus, device manufacturing method
US11573497B2 (en) * 2019-02-14 2023-02-07 Kla Corporation System and method for measuring misregistration of semiconductor device wafers utilizing induced topography
EP3764164A1 (en) 2019-07-11 2021-01-13 ASML Netherlands B.V. Method for controlling a lithographic apparatus and associated apparatuses
CN113632009A (zh) 2019-03-22 2021-11-09 Asml荷兰有限公司 控制光刻装置的方法和相关装置
WO2020200635A1 (en) 2019-04-04 2020-10-08 Asml Netherlands B.V. Sub-field control of a lithographic process and associated apparatus
EP3734366A1 (en) 2019-05-03 2020-11-04 ASML Netherlands B.V. Sub-field control of a lithographic process and associated apparatus
NL2025265A (en) 2019-05-06 2020-11-23 Asml Netherlands Bv Dark field microscope
EP3994523A1 (en) 2019-07-02 2022-05-11 ASML Netherlands B.V. Metrology method and associated metrology and lithographic apparatuses
EP3767391A1 (en) 2019-07-17 2021-01-20 ASML Netherlands B.V. Sub-field control of a lithographic process and associated apparatus
CN114174927A (zh) 2019-07-04 2022-03-11 Asml荷兰有限公司 光刻工艺及关联设备的子场控制
EP3792693A1 (en) 2019-09-16 2021-03-17 ASML Netherlands B.V. Sub-field control of a lithographic process and associated apparatus
EP3798729A1 (en) 2019-09-26 2021-03-31 ASML Netherlands B.V. Method for inferring a processing parameter such as focus and associated appratuses and manufacturing method
CN114585970A (zh) 2019-10-17 2022-06-03 Asml荷兰有限公司 将测量数据拟合至模型和对性能参数分布建模的方法以及相关联的设备
EP3809203A1 (en) 2019-10-17 2021-04-21 ASML Netherlands B.V. Methods of fitting measurement data to a model and modeling a performance parameter distribution and associated apparatuses
CN114667489A (zh) 2019-11-01 2022-06-24 Asml荷兰有限公司 量测方法和光刻设备
CN114641729A (zh) 2019-11-11 2022-06-17 Asml荷兰有限公司 用于光刻系统的校准方法
CN114846412A (zh) 2019-12-05 2022-08-02 Asml荷兰有限公司 对准方法和相关联的对准和光刻设备
WO2021115735A1 (en) 2019-12-12 2021-06-17 Asml Netherlands B.V. Alignment method and associated alignment and lithographic apparatuses
CN114868084A (zh) 2019-12-16 2022-08-05 Asml荷兰有限公司 量测方法和相关联的量测和光刻设备
EP3839635A1 (en) 2019-12-17 2021-06-23 ASML Netherlands B.V. Dark field digital holographic microscope and associated metrology method
CN114830043A (zh) 2019-12-17 2022-07-29 Asml荷兰有限公司 暗场数字全息显微镜和相关联的量测方法
WO2021151565A1 (en) 2020-01-28 2021-08-05 Asml Netherlands B.V. Metrology method and associated metrology and lithographic apparatuses
EP3869271A1 (en) 2020-02-20 2021-08-25 ASML Netherlands B.V. Method for controlling a manufacturing process and associated apparatuses
KR20220132624A (ko) 2020-02-12 2022-09-30 에이에스엠엘 네델란즈 비.브이. 제조 프로세스를 제어하기 위한 방법 및 연관된 장치
EP3879342A1 (en) 2020-03-10 2021-09-15 ASML Netherlands B.V. Method for inferring a local uniformity metric and associated appratuses
WO2021175521A1 (en) 2020-03-02 2021-09-10 Asml Netherlands B.V. Method for inferring a local uniformity metric
EP3882701A1 (en) 2020-03-19 2021-09-22 ASML Netherlands B.V. Method for controlling a manufacturing process and associated apparatuses
US11768441B2 (en) 2020-03-03 2023-09-26 Asml Netherlands B.V. Method for controlling a manufacturing process and associated apparatuses
EP3876036A1 (en) 2020-03-04 2021-09-08 ASML Netherlands B.V. Vibration isolation system and associated applications in lithography
US20230176491A1 (en) * 2020-05-07 2023-06-08 Asml Netherlands B.V. A substrate comprising a target arrangement, and associated at least one patterning device, lithographic method and metrology method
CN115668060A (zh) 2020-05-26 2023-01-31 Asml荷兰有限公司 用于优化采样方案的方法和相关设备
WO2021249711A1 (en) 2020-06-10 2021-12-16 Asml Netherlands B.V. Metrology method, metrology apparatus and lithographic apparatus
WO2021259559A1 (en) 2020-06-24 2021-12-30 Asml Netherlands B.V. Metrology method and associated metrology and lithographic apparatuses
CN115769151A (zh) 2020-07-06 2023-03-07 Asml荷兰有限公司 照射设备和相关联的量测和光刻设备
EP3945367A1 (en) 2020-07-31 2022-02-02 ASML Netherlands B.V. Method for controlling a manufacturing process and associated apparatuses
CN116157744A (zh) 2020-07-22 2023-05-23 Asml荷兰有限公司 用于控制制造工艺的方法和相关联的装置
IL299268A (en) 2020-07-28 2023-02-01 Asml Netherlands Bv Methods and devices and printing devices for measuring focus performance of a lithographic device, device manufacturing method
KR20220026101A (ko) 2020-08-25 2022-03-04 삼성전자주식회사 반도체 장치 제조 시스템
EP3964892A1 (en) 2020-09-02 2022-03-09 Stichting VU Illumination arrangement and associated dark field digital holographic microscope
US20230333485A1 (en) 2020-09-28 2023-10-19 Asml Netherlands B.V. Target structure and associated methods and apparatus
EP4002015A1 (en) 2020-11-16 2022-05-25 ASML Netherlands B.V. Dark field digital holographic microscope and associated metrology method
WO2022106182A1 (en) 2020-11-17 2022-05-27 Asml Netherlands B.V. Metrology system and lithographic system
JP2023550904A (ja) 2020-11-27 2023-12-06 エーエスエムエル ネザーランズ ビー.ブイ. メトロロジ方法並びに関連付けられたメトロロジ及びリソグラフィ装置
IL303221A (en) 2020-12-08 2023-07-01 Asml Netherlands Bv Metrology method and related devices
EP4016186A1 (en) 2020-12-18 2022-06-22 ASML Netherlands B.V. Metrology method for measuring an etched trench and associated metrology apparatus
EP4030236A1 (en) 2021-01-18 2022-07-20 ASML Netherlands B.V. A method of monitoring a lithographic process and associated apparatuses
WO2022135890A1 (en) 2020-12-21 2022-06-30 Asml Netherlands B.V. A method of monitoring a lithographic process
EP4030237A1 (en) 2021-01-19 2022-07-20 ASML Netherlands B.V. Metrology method and system and lithographic system
EP4036646A1 (en) 2021-01-29 2022-08-03 ASML Netherlands B.V. Metrology methods and appratuses
EP4040233A1 (en) 2021-02-03 2022-08-10 ASML Netherlands B.V. A method of determining a measurement recipe and associated metrology methods and appratuses
EP4063971A1 (en) 2021-03-22 2022-09-28 ASML Netherlands B.V. Digital holographic microscope and associated metrology method
US20240160151A1 (en) 2021-03-22 2024-05-16 Asml Netherlands B.V. Digital holographic microscope and associated metrology method
EP4071553A1 (en) 2021-04-07 2022-10-12 ASML Netherlands B.V. Method of determining at least a target layout and associated metrology apparatus
EP4080284A1 (en) 2021-04-19 2022-10-26 ASML Netherlands B.V. Metrology tool calibration method and associated metrology tool
IL306078A (en) 2021-04-19 2023-11-01 Asml Netherlands Bv Method of calibrating a metrology tool and a combined metrology tool
KR20240003442A (ko) 2021-05-04 2024-01-09 에이에스엠엘 네델란즈 비.브이. 계측 장치 및 리소그래피 장치
WO2022253501A1 (en) 2021-05-31 2022-12-08 Asml Netherlands B.V. Metrology method and associated metrology tool
EP4187321A1 (en) 2021-11-24 2023-05-31 ASML Netherlands B.V. Metrology method and associated metrology tool
CN113270392B (zh) * 2021-06-22 2022-08-19 福建省晋华集成电路有限公司 一种对准标记结构以及半导体器件
EP4113210A1 (en) 2021-07-01 2023-01-04 ASML Netherlands B.V. A method of monitoring a measurement recipe and associated metrology methods and apparatuses
KR20240035467A (ko) 2021-07-16 2024-03-15 에이에스엠엘 네델란즈 비.브이. 계측 방법 및 장치
WO2023012338A1 (en) 2021-08-06 2023-02-09 Asml Netherlands B.V. Metrology target, patterning device and metrology method
KR20240050358A (ko) 2021-08-18 2024-04-18 에이에스엠엘 네델란즈 비.브이. 계측 방법 및 장치
EP4191337A1 (en) 2021-12-01 2023-06-07 ASML Netherlands B.V. A method of monitoring a lithographic process and associated apparatuses
KR20240056509A (ko) 2021-09-07 2024-04-30 에이에스엠엘 네델란즈 비.브이. 리소그래피 공정을 모니터링하는 방법 및 관련된 장치
KR20240063113A (ko) 2021-09-08 2024-05-10 에이에스엠엘 네델란즈 비.브이. 계측 방법 그리고 관련된 계측 및 리소그래피 장치
CN117980829A (zh) 2021-09-22 2024-05-03 Asml荷兰有限公司 源选择模块以及相关联的量测和光刻设备
EP4155822A1 (en) 2021-09-28 2023-03-29 ASML Netherlands B.V. Metrology method and system and lithographic system
EP4163687A1 (en) 2021-10-06 2023-04-12 ASML Netherlands B.V. Fiber alignment monitoring tool and associated fiber alignment method
EP4167031A1 (en) 2021-10-18 2023-04-19 ASML Netherlands B.V. Method of determining a measurement recipe in a metrology method
EP4170429A1 (en) 2021-10-19 2023-04-26 ASML Netherlands B.V. Out-of-band leakage correction method and metrology apparatus
EP4174577A1 (en) 2021-11-01 2023-05-03 ASML Netherlands B.V. Method of determining a performance parameter distribution
EP4191338A1 (en) 2021-12-03 2023-06-07 ASML Netherlands B.V. Metrology calibration method
US11796925B2 (en) * 2022-01-03 2023-10-24 Kla Corporation Scanning overlay metrology using overlay targets having multiple spatial frequencies
EP4246231A1 (en) 2022-03-18 2023-09-20 Stichting VU A method for determining a vertical position of a structure on a substrate and associated apparatuses
EP4246232A1 (en) 2022-03-18 2023-09-20 Stichting VU Illumination arrangement for a metrology device and associated method
WO2023174648A1 (en) 2022-03-18 2023-09-21 Stichting Vu Illumination arrangement for a metrology device and associated method
EP4254068A1 (en) 2022-03-28 2023-10-04 ASML Netherlands B.V. Method for determining a spatial distribution of a parameter of interest over at least one substrate or portion thereof
EP4279993A1 (en) 2022-05-18 2023-11-22 ASML Netherlands B.V. Source selection module and associated metrology apparatus
WO2023208487A1 (en) 2022-04-25 2023-11-02 Asml Netherlands B.V. Source selection module and associated metrology apparatus
WO2023222310A1 (en) 2022-05-16 2023-11-23 Asml Netherlands B.V. Method of optimizing maintenance of a lithographic apparatus
EP4279992A1 (en) 2022-05-18 2023-11-22 ASML Netherlands B.V. Method of optimizing maintenance of a lithographic apparatus
EP4279994A1 (en) 2022-05-20 2023-11-22 ASML Netherlands B.V. Illumination module and associated methods and metrology apparatus
WO2023222328A1 (en) 2022-05-20 2023-11-23 Asml Netherlands B.V. Illumination module and associated methods and metrology apparatus
WO2023232360A1 (en) 2022-05-31 2023-12-07 Asml Netherlands B.V. Method for determining a failure event on a lithography system and associated failure detection module
EP4300193A1 (en) 2022-06-27 2024-01-03 ASML Netherlands B.V. Focus measurment and control in metrology and associated wedge arrangement
EP4303658A1 (en) 2022-07-05 2024-01-10 ASML Netherlands B.V. Method of correction metrology signal data
WO2024012772A1 (en) 2022-07-14 2024-01-18 Asml Netherlands B.V. Metrology target and associated metrology method
EP4318131A1 (en) 2022-08-01 2024-02-07 ASML Netherlands B.V. Sensor module, illuminator, metrology device and associated metrology method
EP4332678A1 (en) 2022-09-05 2024-03-06 ASML Netherlands B.V. Holographic metrology apparatus and method
WO2024052057A1 (en) 2022-09-06 2024-03-14 Asml Netherlands B.V. Method for monitoring proper functioning of one or more components of a lithography system
EP4357853A1 (en) 2022-10-17 2024-04-24 ASML Netherlands B.V. Apparatus and methods for filtering measurement radiation
WO2024083559A1 (en) 2022-10-17 2024-04-25 Asml Netherlands B.V. Apparatus and methods for filtering measurement radiation

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101943572A (zh) * 2009-07-03 2011-01-12 株式会社高永科技 检测测量目标的方法
US20120013881A1 (en) * 2010-07-19 2012-01-19 Asml Netherlands B.V. Method and Apparatus for Determining an Overlay Error
WO2012062501A1 (en) * 2010-11-12 2012-05-18 Asml Netherlands B.V. Metrology method and apparatus, and device manufacturing method

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3060604B2 (ja) 1991-05-30 2000-07-10 株式会社ニコン 位置検出装置、および位置検出装置の調整方法
US7317531B2 (en) 2002-12-05 2008-01-08 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
AU2003298003A1 (en) 2002-12-05 2004-06-30 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US7230703B2 (en) 2003-07-17 2007-06-12 Tokyo Electron Limited Apparatus and method for measuring overlay by diffraction gratings
US7808643B2 (en) 2005-02-25 2010-10-05 Nanometrics Incorporated Determining overlay error using an in-chip overlay target
US7616313B2 (en) 2006-03-31 2009-11-10 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US7879514B2 (en) 2006-08-04 2011-02-01 Asml Netherlands B.V. Lithographic method and patterning device
US7724370B2 (en) 2007-03-01 2010-05-25 Asml Netherlands B.V. Method of inspection, a method of manufacturing, an inspection apparatus, a substrate, a mask, a lithography apparatus and a lithographic cell
NL1036245A1 (nl) 2007-12-17 2009-06-18 Asml Netherlands Bv Diffraction based overlay metrology tool and method of diffraction based overlay metrology.
NL1036597A1 (nl) 2008-02-29 2009-09-01 Asml Netherlands Bv Metrology method and apparatus, lithographic apparatus, and device manufacturing method.
WO2010130516A1 (en) 2009-05-11 2010-11-18 Asml Netherlands B.V. Method of determining overlay error
NL2005162A (en) 2009-07-31 2011-02-02 Asml Netherlands Bv Methods and scatterometers, lithographic systems, and lithographic processing cells.
JP2013502592A (ja) * 2009-08-24 2013-01-24 エーエスエムエル ネザーランズ ビー.ブイ. メトロロジ方法および装置、リソグラフィ装置、リソグラフィプロセシングセル、およびメトロロジターゲットを備える基板
WO2012022584A1 (en) 2010-08-18 2012-02-23 Asml Netherlands B.V. Substrate for use in metrology, metrology method and device manufacturing method
KR101492205B1 (ko) 2010-11-12 2015-02-10 에이에스엠엘 네델란즈 비.브이. 메트롤로지 방법 및 장치, 리소그래피 시스템, 및 디바이스 제조 방법
WO2012126684A1 (en) 2011-03-24 2012-09-27 Asml Netherlands B.V. Substrate and patterning device for use in metrology, metrology method and device manufacturing method
JP6045588B2 (ja) 2011-08-23 2016-12-14 エーエスエムエル ネザーランズ ビー.ブイ. メトロロジ方法及び装置並びにデバイス製造方法
US8781211B2 (en) * 2011-12-22 2014-07-15 Kla-Tencor Corporation Rotational multi-layer overlay marks, apparatus, and methods
NL2010401A (en) 2012-03-27 2013-09-30 Asml Netherlands Bv Metrology method and apparatus, lithographic system and device manufacturing method.
NL2010458A (en) 2012-04-16 2013-10-17 Asml Netherlands Bv Lithographic apparatus, substrate and device manufacturing method background.
WO2013178422A1 (en) 2012-05-29 2013-12-05 Asml Netherlands B.V. Metrology method and apparatus, substrate, lithographic system and device manufacturing method

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101943572A (zh) * 2009-07-03 2011-01-12 株式会社高永科技 检测测量目标的方法
US20120013881A1 (en) * 2010-07-19 2012-01-19 Asml Netherlands B.V. Method and Apparatus for Determining an Overlay Error
WO2012062501A1 (en) * 2010-11-12 2012-05-18 Asml Netherlands B.V. Metrology method and apparatus, and device manufacturing method

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108369390A (zh) * 2015-12-15 2018-08-03 Asml荷兰有限公司 光刻设备和器件制造方法
US10915033B2 (en) 2015-12-15 2021-02-09 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
CN108700823A (zh) * 2016-02-22 2018-10-23 Asml荷兰有限公司 对量测数据的贡献的分离
US11520239B2 (en) 2016-02-22 2022-12-06 Asml Netherlands B.V. Separation of contributions to metrology data
CN108061973A (zh) * 2016-11-08 2018-05-22 三星电子株式会社 定向背光单元及包括该定向背光单元的图像显示设备
CN108061973B (zh) * 2016-11-08 2022-04-05 三星电子株式会社 定向背光单元及包括该定向背光单元的图像显示设备
CN108962776A (zh) * 2017-05-26 2018-12-07 台湾积体电路制造股份有限公司 半导体装置及其制造方法和覆盖误差的测量方法
CN108962776B (zh) * 2017-05-26 2021-05-18 台湾积体电路制造股份有限公司 半导体装置及其制造方法和覆盖误差的测量方法
CN109216221A (zh) * 2017-06-29 2019-01-15 台湾积体电路制造股份有限公司 半导体装置的量测方法
CN109216221B (zh) * 2017-06-29 2023-05-23 台湾积体电路制造股份有限公司 半导体装置的量测方法
CN113538384A (zh) * 2021-07-19 2021-10-22 凌云光技术股份有限公司 一种特征的定位方法及装置
CN113538384B (zh) * 2021-07-19 2024-03-26 凌云光技术股份有限公司 一种特征的定位方法及装置

Also Published As

Publication number Publication date
IL235833B (en) 2019-05-30
US20150138523A1 (en) 2015-05-21
US9535338B2 (en) 2017-01-03
KR20150023502A (ko) 2015-03-05
US20170068173A1 (en) 2017-03-09
KR101759608B1 (ko) 2017-07-20
TWI497233B (zh) 2015-08-21
JP6077647B2 (ja) 2017-02-08
IL266509B (en) 2020-11-30
WO2013178422A1 (en) 2013-12-05
NL2010734A (en) 2013-12-02
CN104350424B (zh) 2018-01-09
TW201400993A (zh) 2014-01-01
IL235833A0 (en) 2015-01-29
US9811003B2 (en) 2017-11-07
IL266509A (en) 2019-07-31
JP2015520859A (ja) 2015-07-23

Similar Documents

Publication Publication Date Title
CN104350424A (zh) 量测方法和设备、衬底、光刻系统以及器件制造方法
KR102104843B1 (ko) 계측 방법 및 장치, 컴퓨터 프로그램 및 리소그래피 시스템
US11466980B2 (en) Metrology method and apparatus, lithographic system, device manufacturing method and substrate
US9535342B2 (en) Metrology method and apparatus, and device manufacturing method
CN105452962A (zh) 量测方法和设备、光刻系统和器件制造方法
KR102025214B1 (ko) 계측 방법 및 장치, 컴퓨터 프로그램 및 리소그래피 시스템
US9069264B2 (en) Metrology method and apparatus, and device manufacturing method
TWI620978B (zh) 基板、量測及形成組合式目標於該基板上之方法、微影設備、度量衡設備、微影製造單元及電腦程式
CN104823113A (zh) 确定剂量和焦点的方法、检查设备、图案形成装置、衬底及器件制造方法
CN105814491A (zh) 检查设备和方法、具有量测目标的衬底、光刻系统和器件制造方法
JP2017506741A (ja) メトロロジー方法及び装置、基板、リソグラフィシステム並びにデバイス製造方法
US9958790B2 (en) Inspection methods, substrates having metrology targets, lithographic system and device manufacturing method
CN106662823A (zh) 确定剂量的方法、检查设备、图案形成装置、衬底以及器件制造方法
CN115552221A (zh) 包括目标布置的衬底和相关联的至少一个图案形成装置、光刻方法和量测方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant