CN109216221A - 半导体装置的量测方法 - Google Patents

半导体装置的量测方法 Download PDF

Info

Publication number
CN109216221A
CN109216221A CN201710914496.7A CN201710914496A CN109216221A CN 109216221 A CN109216221 A CN 109216221A CN 201710914496 A CN201710914496 A CN 201710914496A CN 109216221 A CN109216221 A CN 109216221A
Authority
CN
China
Prior art keywords
axis
hole plate
grating
diffraction
transmission region
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201710914496.7A
Other languages
English (en)
Other versions
CN109216221B (zh
Inventor
谢鸿志
吴锴
陈彦良
陈开雄
郑博中
柯志明
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN109216221A publication Critical patent/CN109216221A/zh
Application granted granted Critical
Publication of CN109216221B publication Critical patent/CN109216221B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B5/00Optical elements other than lenses
    • G02B5/18Diffraction gratings
    • G02B5/1866Transmission gratings characterised by their structure, e.g. step profile, contours of substrate or grooves, pitch variations, materials
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B5/00Optical elements other than lenses
    • G02B5/18Diffraction gratings
    • GPHYSICS
    • G02OPTICS
    • G02FOPTICAL DEVICES OR ARRANGEMENTS FOR THE CONTROL OF LIGHT BY MODIFICATION OF THE OPTICAL PROPERTIES OF THE MEDIA OF THE ELEMENTS INVOLVED THEREIN; NON-LINEAR OPTICS; FREQUENCY-CHANGING OF LIGHT; OPTICAL LOGIC ELEMENTS; OPTICAL ANALOGUE/DIGITAL CONVERTERS
    • G02F1/00Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics
    • G02F1/29Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the position or the direction of light beams, i.e. deflection
    • G02F1/31Digital deflection, i.e. optical switching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • G03F1/44Testing or measuring features, e.g. grid patterns, focus monitors, sawtooth scales or notched scales
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2022Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure
    • G03F7/2024Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure of the already developed image
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03HHOLOGRAPHIC PROCESSES OR APPARATUS
    • G03H1/00Holographic processes or apparatus using light, infrared or ultraviolet waves for obtaining holograms or for obtaining an image from them; Details peculiar thereto
    • G03H1/26Processes or apparatus specially adapted to produce multiple sub- holograms or to obtain images from them, e.g. multicolour technique
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B5/00Optical elements other than lenses
    • G02B5/18Diffraction gratings
    • G02B5/1861Reflection gratings characterised by their structure, e.g. step profile, contours of substrate or grooves, pitch variations, materials

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Optics & Photonics (AREA)
  • Nonlinear Science (AREA)
  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Length Measuring Devices By Optical Means (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Diffracting Gratings Or Hologram Optical Elements (AREA)

Abstract

一种使用具有单极的孔隙进行衍射式迭对测量的方法,包括使用第一孔隙板测量组合光栅的X轴衍射。在一些实施例中,第一孔隙板具有第一对辐射透射区域,且第一对辐射透射区域沿着第一直径轴线设置并且被设置在对准第一孔隙板的中心的光轴的相对侧上。在一些实施例中,与第一孔隙板互补的第二孔隙板被用于测量组合光栅的Y轴衍射。在一种实施例中,第二孔隙板具有沿着第二直径轴线并且在光轴的相对侧上设置的第二对辐射透射区域。在一些情况下,第二直径轴线基本上垂直于第一直径轴线。

Description

半导体装置的量测方法
技术领域
本发明实施例是有关于量测方法,特别是有关于半导体装置的量测方法。
背景技术
电子工业对于更小、更快的电子装置的需求不断地增长,上述电子装置同时能够支援更大量且日益复杂的功能。因此,半导体工业对于制造低成本、高性能和低功耗的集成电路的趋势仍然持续地进行。目前为止,这些目标已大部分地上透过缩小半导体集成电路的尺寸(例如最小特征尺寸)来实现,从而提高生产效率并且降低相关的成本。然而,这种尺寸缩减也增加了半导体制程的复杂度。因此,为了实现半导体集成电路和装置的持续进步,需要在半导体制程和技术方面取得对应的进步。
举例而言,半导体微影制程可以使用微影模板(lithographic template)(例如光掩模)将图案光学性地转移到基底。在整个制造过程中可以周期性地测量这些图案,借以保持高度的覆盖控制(例如图案与图案之间的对准)。随着集成电路尺寸的持续缩减,加上新的图案化技术(例如双重图案化(double patterning)),精确的覆盖控制比以往更为重要。因此,对能够精确测量这些极度缩放的图案的计量工具和技术变得相当重要。至少在一些现有的方法中,对应于主图案特征的信号可能会受到来自相邻图案的信号的影响(例如,源自紧密的图案间距)。此外,信号串扰(crosstalk)(例如沿着不同的平面)也可能对图案测量产生不利的影响。
因此,现有技术在各个方面中,尚未完全满足所需。
发明内容
本发明实施例提供一种半导体装置的量测方法,包括使用第一孔隙板量测组合光栅的X轴衍射,其中第一孔隙板具备第一对辐射透射区域,且第一对辐射透射区域沿着第一直径轴线设置并且被设置在对准第一孔隙板的中心的光轴的相对侧上;以及使用与第一孔隙板互补的第二孔隙板测量组合光栅的Y轴衍射,其中第二孔隙板具备第二对辐射透射区域,且第二对辐射透射区域沿着第二直径轴线设置并且被设置在光轴的相对侧上,其中第二直径轴线基本上垂直于第一直径轴线。
附图说明
根据以下的详细说明并配合所附附图做完整揭露。应注意的是,根据本产业的一般作业,图示并未必按照比例绘制。事实上,可能任意的放大或缩小元件的尺寸,以做清楚的说明。
图1是依据本发明实施例的执行衍射图案量测的方法流程图。
图2A与2B分别是依据本发明实施例的线型组合光栅和区段型组合光栅。
图2C是依据本发明实施例的图2B的光栅的部分放大图,用以说明区段尺寸与空间的量测。
图3是依据本发明实施例的包括散射仪的计量装置的示意图。
图4A、4B、4C是依据本发明实施例的孔隙板的示意图,可被用以与图3的计量装置一起使用。
图5是依据本发明实施例的微影系统的示意图。
【符号说明】
100~方法
102-112~操作
200~线型组合光栅
202、204、206、208、212、214、216、218~光栅
210~区段型组合光栅
SPY~区段间距
MPX~主间距
CD~区段临界尺寸
300~计量装置
302~辐射源
304、306~透镜
305~光线
307~光栅
308、320~光线分束器
310~物镜
312~孔隙板
316~半导体基底
318~基底台
323~第一分支
324、328、330~透镜
325~第二分支
326、332~感测器
334~孔径光阑
336~图像处理器和/或控制器处理单元
402、412、422~孔隙板
404、405、414、415、424、425~辐射透射区域
+1~+1级衍射
-1~-1级衍射
424X、425X~X轴影像部分
424Y、425Y~Y轴影像部分
X~X轴
Y~Y轴
500~微影系统
502~辐射源
504~照明器
506~光掩模台
508~光掩模
510~投射光学器件
512~光瞳相位调变器
514~投影光瞳平面
516~半导体基底
518~基底台
具体实施方式
以下的揭露内容提供许多不同的实施例或范例以实施本案的不同特征。以下的揭露内容叙述各个构件及其排列方式的特定范例,以简化说明。当然,这些特定的范例并非用以限定。例如,若是本揭露书叙述了一第一特征形成于一第二特征之上或上方,即表示其可能包含上述第一特征与上述第二特征是直接接触的实施例,亦可能包含了有附加特征形成于上述第一特征与上述第二特征之间,而使上述第一特征与第二特征可能未直接接触的实施例。另外,以下揭露书不同范例可能重复使用相同的参考符号及/或标记。这些重复系为了简化与清晰的目的,并非用以限定所讨论的不同实施例及/或结构之间有特定的关系。
此外,其与空间相关用词。例如“在…下方”、“下方”、“较低的”、“上方”、“较高的”及类似的用词,系为了便于描述图示中一个元件或特征与另一个(些)元件或特征之间的关系。除了在附图中绘示的方位外,这些空间相关用词意欲包含使用中或操作中的装置的不同方位。装置可能被转向不同方位(旋转90度或其他方位),则在此使用的空间相关词也可依此相同解释。另外,在本发明实施例中,技术用语“光掩模”(mask、photomask、以及reticle)可用于表示微影模板(lithographic template),例如EUV光掩模。
在半导体微影制程期间,使用微影模板(例如光掩模)将图案透过光学转移到基底上。在整个制造过程中,可周期性地测量这些图案,借以保持高度的覆盖控制(例如图案与图案之间的对准)。随着集成电路尺寸的持续缩减,加上新的图案化技术(例如双重图案化(double patterning)),精确的覆盖控制比以往更为重要。因此,对能够精确测量这些极度缩放的图案的计量工具和技术变得相当重要。用于测量覆盖误差(overlay error)的方法中,至少一些特别具有成效的技术是采用衍射式(diffraction-based),并且通常可以被称为衍射式覆盖(diffraction-based overlay(DBO))方法。一种DBO方法的范例,可以在半导体基底的第一层中形成第一光栅(例如周期性图案),并且可以在第一层上方的第二层中形成第二光栅。在一些情况下,第二层可以包括光阻层(resist layer)。在一些实施例中,第一光栅的间距和第二光栅的间距基本上可以是相同的,且第二光栅可以名义上地对齐第一光栅的顶部上方。透过测量由堆迭的第一、第二光栅所产生的衍射图案的强度,可以获得覆盖误差的程度。换句话说,如果在第一、第二光栅之间存在一些覆盖误差,则覆盖误差可以透过上述测量的衍射图案来检测。在至少一些包括区段型光栅的既有光栅设计中,区段的间距可以类似于区段的尺寸。因此在一些情况下,对应于主图案特征(例如区段)的信号可能会受到来自相邻图案的信号(例如源自紧密的图案间距)的影响。上述问题可能由于信号串扰(signal crosstalk)而特别的明显,例如在同时测量X-和Y-平面衍射图案时可能发生问题。因此,现有的覆盖误差测量技术在各方面尚未完全满足所需。
本发明实施例提供了优于现有技术的优点,应当理解,其他实施例可以提供不同的优点,但并不是所有优点都需要在本文中被讨论,并且所有实施例并无要求特别的优点。举例而言,本发明实施例提供了一种利用应用于衍射图案测量的具有单极(single pole)(例如,也称为单一极(monopole))的孔隙来进行DBO测量的方法。在一些实施例中,提供单极“X”孔隙板(aperture plate),用于沿X轴收集衍射图案;并且提供单极“Y”孔隙板,用于沿Y轴收集衍射图案。在一些实施例中,单极“X”、“Y”孔隙板可专门用于衍射图案测量。然而,在某些情况下,单极“X”、“Y”孔隙板可以与“X-Y”孔隙板按顺序使用,而“X-Y”孔隙板是被设计成同时沿着X轴和Y轴收集衍射图案。透过采用如本发明实施例所描述的单极“X”、“Y”孔隙板,可以确实地收集X轴和Y轴的衍射数据,同时减轻信号串扰。因此,本发明实施例提供了更好的目标设计公差,且不受限于区段间距的限制。此外,在各种实施例中,多个覆盖树(overlay trees)可以在相同的位置(例如堆迭),而不必屏蔽光栅。本领域具有通常知识者可了解本文所述的方法和装置的其他益处和优点,并且所描述的实施例不意味着超出所附申请专利范围中具体叙述的内容。
参考图1的内容,图1描绘根据本发明的实施例的用于执行衍射图案测量(例如DBO测量)的简化的方法100的流程图。应当注意,方法100的处理步骤(包括第2A、2B、2C、3、4A、4B、4C和5图中的任何描述内容)是用于示范性的描述,并不用于在限制在申请专利范围中具体叙述的内容。
方法100从操作102开始,操作102提供包括覆盖光栅的半导体基底。在一些实施例中,基底可以是半导体晶片,包括元素半导体(诸如晶体硅(crystal silicon)、多晶硅(polycrystalline silicon)、非晶硅(amorphous silicon)、锗和金刚石)、化合物半导体(诸如碳化硅和砷化镓)、合金半导体(诸如SiGe、GaAsP、AlInAs、AlGaAs和GaInP)或上述任何组合。
在一些实施例中,如前文所述,覆盖光栅可以包括堆迭和名义上对准的第一光栅和第二光栅。根据本发明一些实施例,图2A、2B分别描绘线型组合光栅200和区段型组合光栅210。如图所示,线型组合光栅200包括光栅202、204、206、208,而区段型组合光栅210包括光栅212、214、216、218。在各种实施例中,线型组合光栅200和区段型组合光栅210的四个光栅可以紧密地彼此间隔,使得所有四个光栅可以透过测量光束同时成像,如下文所述。此外,在覆盖误差测量的情况下,光栅202、204、206、208以及光栅212、214、216、218中的每一者,可以是由形成在半导体基底及/或光阻层的不同层中的光栅所构成的组合光栅。另外,在一些实施例中,为了便于覆盖误差的测量,各种光栅(例如光栅202、204、206、208 212、214、216、218)可被设计成包括指定的偏移(例如相对于底层堆迭的光栅)。应当注意,尽管线型组合光栅200和区段型组合光栅210被描绘成包括四个光栅,但在一些实施例中可以包括具有多于四个光栅的组合光栅矩阵。参考区段型组合光栅210,图2C描绘光栅214的一部分的放大视图,并且描绘区段尺寸和间隔测量。根据一些实施例,区段的临界尺寸(critical dimension)CD可以等于约330nm,沿着Y轴(区段间距SPY)的区段间距可以等于约500nm,沿X轴的主间距(主间距MPX)可以等于约600nm。可以确定的是,透过采用如本发明实施例所描述的单极“X”、“Y”孔隙板,可以使用其他区段临界尺寸CD和间距值来确实地测量覆盖误差。举例而言,在一些情况下,区段临界尺寸CD可以等于约130nm,且区段间距SPY可以等于约300nm。
方法100进行到操作104,其中计量装置被配置以具有第一孔隙板,借以测量X轴衍射。在一些实施例中,计量装置可以包括计量装置300,如图3所示。在一些实施例中,计量装置300可与微影系统整合,诸如图5所示。另一方面,计量装置300可以被实现为独立装置。在一些实施例中,计量装置300包括散射仪(scatterometer),用于测量形成在半导体基底316上的图案(例如在基底台318上)。一般而言,可以使用散射仪来测量做为入射角和极化(polarization)的函数的衍射光的强度。因此,计量装置300可以用于特征化表面粗糙度、缺陷、颗粒密度、膜厚度、临界尺寸、图案覆盖等。计量装置300包括辐射源302,辐射源302可发射光线305。如图3示,光线305经由透镜304、306、光线分束器(beam splitter)308和物镜310被引导到半导体基底316。在一些实施例中,孔隙板312可被设置在透镜304和透镜306之间,例如,取决于由计量装置300所执行的测量类型。孔隙板312的附加细节(包括可并入用于计量装置300的各种孔隙板)将在下文的图4A、4B、4C中描述。应当注意,在各种实施例中,计量装置300是可被配置的,因此本发明实施例的各种孔隙板可以被移除(手动地或自动地),且不同构造的孔隙板可被放置在计量装置300中。
做为覆盖测量的一部分,光线305可以被引导至半导体基底316的表面以及形成在半导体基底316上的一或多个光栅307(例如堆迭的周期性结构)。在一些实施例中,光栅307可以如前述图2A、2B和2C所示的内容,且计量装置300可用于测量光栅之间的覆盖误差。在一些实施例中,光线305基于光栅307产生衍射并且被物镜310收集。在一些实施例中,零级(0th order)及/或第一级(1st order)衍射信号由物镜310收集。被收集的衍射信号可被引导至光线束器320,而光线分束器320将收集的信号分成两个分支(branch)。
在第一分支323中,透镜324形成在感测器326上的目标(例如一或多个光栅307)的衍射光谱。在一些实施例中,感测器326可以包括电荷耦合装置(charge-coupled device(CCD))或CMOS感测器,并且可以利用第0级及/或1级衍射信号来形成衍射光谱。在一些实施例中,第一分支323和感测器326可用于覆盖测量。在第二分支325中,透镜328、330形成感测器332上的目标(例如一或多个光栅307)的图像。如同感测器326,感测器332可以包括CCD或CMOS感测器。在一些实施例中,孔径光阑(aperture stop)334亦被设置在第二分支325内的透镜328、330之间,其中孔径光阑334可用于阻挡第0级衍射信号,使得形成在感测器332上的图像仅由第1级衍射信号所形成。因此,在一些实施例中,形成在感测器332上的图像可以被称为暗视场图像(dark field image)。在一些实施例中,由感测器326和感测器332撷取的图像可被传送到图像处理器和/或控制器处理单元336以进行额外的处理。虽然已经描述了孔径光阑334的特定实施例,但是上述实施例并非用以对本发明实施例产生限制。在一些情况下,孔径光阑可以包括被其他构造(例如轴上(on-axis)、离轴(off-axis)),上述构造可被设计成让其他级(order)衍射光通过。
在一些实施例中,图像处理器和/或控制器处理单元336可用于处理在感测器326、332上形成的图像。特别地,图像处理器和/或控制器处理单元336可以识别对应于光栅307的不同图案的分离图像(例如线条及/或区段);判定每个不同影像图案的强度;并且可以判定覆盖误差。在一些实施例中,图像处理器和/或控制器处理单元336可以先接收X轴和Y轴衍射资料(透过至少使用第一孔隙板(例如用于测量X轴衍射)与第二孔隙板(例如用于测量Y轴衍射)的一系列测量),进一步地,图像处理器和/或控制器处理单元336可以判定覆盖误差。此外,在一些实施例中,图像处理器和/或控制器处理单元336可以(透过至少使用第一孔隙板(例如用于测量X轴衍射)、第二孔隙板(例如用于测量Y轴衍射)以及第三孔隙板(例如用于同时测量X轴与Y轴衍射)的一系列测量)先接收X轴、Y轴衍射资料以及组合的X-Y信号,进一步地,图像处理器和/或控制器处理单元336可以判定覆盖误差。
图4A描绘孔隙板402的示例性实施例,孔隙板402可与计量装置300整合(例如代替孔隙板312)。孔隙板402可以是在衍射式覆盖(diffraction-based overlay(DBO))方法中使用的一组互补孔隙的一个孔隙。在一些实施例中,孔隙板402对于辐射源302所发射的光线305而言是不透明的,使得入射在孔隙板402上的光线会被阻挡且无法穿透。在一些实施例中,孔隙板402可由金属、金属合金或其他合适的材料所制成。在一些实施例中,孔隙板402可以包括沉积在其上的一或多个涂层材料。在一些实施例中,孔隙板402具有环形形状,且定义一中心,上述中心在计量过程中与光轴对准。可以将多个直径轴线定义为通过孔隙板402的中心(例如垂直地与光轴交叉)并且处于孔隙板402的平面。
如图4A所示,孔隙板402还包括定义在孔隙板402中的一对辐射透射区域(a pairof radiation-transmitting regions)。具体而言,一对辐射透射区域404、405被设置在第一直径轴线上并且在光轴的相对侧上。例如,一对辐射透射区域404、405彼此可以是在光轴上的镜像(mirror-image)。在一个实施例中,孔隙板402用于沿着X轴收集衍射图案,并且可在此称为单极“X”孔隙板。换句话说,在一些实施例中,孔隙板402可以用于X定向图案(X-oriented patterns),在一些实施例中可以包括垂直线图案。在一些实施例中(如图4A所示),辐射透射区域404可以用于对+1级衍射信号进行成像(例如经由感测器326),且辐射透射区域405可以用于对-1级衍射信号进行成像。在一些实施例中,辐射透射区域404、405可以包括透明或半透明材料、开口及/或其他合适的材料。此外,由于一对辐射透射区域404、405是相对于光轴并且偏离中心设置,孔隙板402可被配置以提供光栅307的离轴照明(off-axis illumination)。在一些实施例中,操作104的第一孔隙板可以包括孔隙板402。然而,在一些情况下,并且取决于方法100的操作的替代顺序及/或基于本发明实施例所述的各种实施方式,操作104的第一孔隙板可以同样地包括孔隙板412或孔隙板422,如下文所述。
图4B描绘孔隙板412的示例性实施例,孔隙板412可与计量装置300整合(例如代替孔隙板312)。孔隙板412可以是在衍射式覆盖方法中使用的一组互补孔隙的一个孔隙。在一些实施例中,孔隙板402、412是互补的孔隙板。举例而言,在一个实施例中,孔隙板402可以设置在计量装置300中,并且用于沿X轴收集衍射图案。继之,孔隙板412可以设置在计量装置300中,并且用于沿Y轴收集衍射图案。在一些实施例中,互补的孔隙板402、412可用于独立地收集X轴和Y轴的衍射资料,借以减少X信号和Y信号串扰的风险。
在一些实施例中,孔隙板412可以基本上类似于孔隙板402。然而如图4B所示,辐射透射区域的配置可以不同。例如在孔隙板412中,一对辐射透射区域414、415被设置在第二直径轴线上并且位于光轴的相对侧上。在一些实施例中,第二直径轴线基本上垂直于第一直径轴线。例如,一对辐射透射区域414、415彼此可以是光轴上的镜像。在一个实施例中,孔隙板412用于沿着Y轴收集衍射图案,并且在此可以被称为单极“Y”孔隙板。换句话说,在一些实施例中,孔隙板412可以用于Y定向图案,孔隙板412在一些实施例中可以包括水平线图案。在一些实施例中(如图4B所示),辐射透射区域414可以用于对+1级衍射信号进行成像(例如透过感测器326),且辐射透射区域415可以用于对-1级衍射信号进行成像。另外,由于一对辐射透射区域414、415是相对于光轴并且偏离中心设置,孔隙板412可被配置以提供光栅307的离轴照明。在一些实施例中,第二直径轴线基本上垂直于第一直径轴线(如图4A所示)。此外,在一些实施例中,上述两个直径轴线可以基本上垂直于计量装置300的光轴。在一些实施例中,方法100的操作108的第二孔隙板可以包括孔隙板412。然而,在一些情况下,并且取决于方法100的操作的替代顺序及/或基于本发明实施例所述的各种实施方式,第二孔隙板可以同样地包括孔隙板402或孔隙板422。
图4C描绘孔隙板422的示例性实施例,孔隙板422可与计量装置300整合(例如代替孔隙板312)。在一些实施例中,孔隙板422包括X-Y孔隙板,且X-Y孔隙板被设计成同时沿X轴和Y轴收集衍射图案。如前文所述,在一些实施例中,单极“X”和“Y”孔隙板(例如孔隙板402、412)可以单独地用于衍射图案测量。然而,在一些实施例中,孔隙板402、412可以与孔隙板422互补使用。例如,在一个实施例中,孔隙板402可以设置在计量装置300中,并且用于沿X轴收集衍射图案。继之,孔隙板412可以设置在计量装置300中,并且用于沿Y轴收集衍射图案。此后,孔隙板422可以设置在计量装置300中,并且用于沿X轴和Y轴同时地收集衍射图案。在一些实施例中,互补的孔隙板402、412与孔隙板422一起使用,借此可有效地减少X、Y信号串扰的风险并且改善衍射图案测量完整性。
在一些实施例中,孔隙板422可以基本上类似于孔隙板402、412。然而,如图4C所示,辐射透射区域的配置可以不同。举例而言,在孔隙板422中,一对辐射透射区域424、425设置在第三直径轴线和光轴的相对侧上。例如,一对辐射透射区域424、425彼此可以是光轴上的镜像。在一个实施例中,孔隙板422用于沿着X轴和Y轴收集衍射图案,并且在此可以称为X-Y孔隙板(例如具有两个极(pole))。在一些实施例中,由于第三直径轴线被设置成相对于第一直径轴线和第二直径轴线的斜线(例如角度大约45度),所以一对辐射透射区域424、425中的每一者被配置成同时形成在X轴方向的图案以及Y轴方向的图案。如图4C所示,辐射透射区域424可以包括X轴影像部分424X和Y轴影像部分424Y。类似地,辐射透射区域425可以包括X轴影像部分425X和Y轴影像部分425Y。另外如图4C所示,辐射透射区域424可以经由X轴影像部分424X与Y轴影像部分424Y,对X-、Y-方向图案的+1级衍射信号进行成像(例如透过感测器326)。相似地,辐射透射区域425可以经由X轴影像部分425X与Y轴影像部分425Y,对X-、Y-方向图案的-1级衍射信号进行成像(例如透过感测器326)。在一些实施例中,第三直径轴线可以基本上垂直于计量装置300的光轴。在一些实施例中,孔隙板422可以是方法100的第一孔隙板或第二孔隙板。然而,在一些情况下,并且取决于方法100的操作的替代顺序及/或基于本发明实施例所述的各种实施方式,孔隙板422可以包括与第一、第二孔隙板以互补的方式使用的第三孔隙板,如如上文所述。
应当注意,上述辐射透射区域的配置、尺寸、开口角度以及直径轴线是用于示例性说明,并不用以对本发明实施例进行任何限制。此外,可以改变辐射透射区域的配置、尺寸、角度、数量或类似特征,借以提供所执行的计量过程的最佳化效果。具体而言,可以改变配置、尺寸、角度等,借以最佳化覆盖误差的测量并且减少X、Y信号串扰。本领域具有通常知识者可以了解在不脱离本发明实施例的范围的情况下改变示例性孔隙板的极的构造、尺寸或角度的益处。另外,诸如数值孔径(numerical aperture(NA))、辐射波长、待测量图案(例如光栅)的物理性质(例如间距、尺寸)等变异量将被认为适用于选择极的配置、尺寸、形状或角度。
方法100进行到操作106,操作106测量对应于第一孔隙板的X轴衍射图案。在一些实施例中,第一孔隙板包括孔隙板402。因此,在一些实施例中,被测量的衍射图案可以包括光栅的X轴方向元件(例如线型组合光栅200、区段型组合光栅210、307)。在一些实施例中,所测量的X轴衍射资料可被传送至图像处理器(例如图像处理器和/或控制器处理单元336)以用于进一步处理。
方法100进行到操作108,其中计量装置被配置以具有第二孔隙板,借以测量Y轴衍射。计量装置可以基本上类似于上述计量装置300。在一些实施例中,第二孔隙板可以包括上述的孔隙板412,且可以用于沿着Y轴收集衍射图案。然而,在一些情况下,并且取决于方法100的操作的替代顺序及/或基于本发明实施例所述的各种实施方式,第二孔隙板可以同样地包括孔隙板402或孔隙板422。在一些实施例中,在计量装置300配置第二孔隙板之前,第一孔隙板可以从光线305的光学路径中移除。
方法100进行到操作110,操作110测量对应于第二孔隙板的Y轴衍射图案。在一些实施例中,第二孔隙板包括孔隙板412。因此,在一些实施例中,所测量的衍射图案可以包括光栅的Y轴方向元件(例如线型组合光栅200、区段型组合光栅210、307)。在一些实施例中,被测量的Y轴衍射资料可以被传送至图像处理器(例如图像处理器和/或控制器处理单元336)以用于进一步处理。方法100进行到操作112,操作112判定覆盖光栅的覆盖误差。在一些实施例中,在图像处理器和/或控制器处理单元336接收被测量的X轴、Y轴衍射资料(例如在操作106和操作110中测量)之后,图像处理器和/或控制器处理单元336可以进行覆盖误差的判断。
在一些实施例中(例如方法100的一部分),计量装置可以选择性地配置第三孔隙板以测量组合的X-Y衍射信号。例如,在一些实施例中,第三孔隙板(例如孔隙板422)可以用于同时沿着X轴、Y轴收集衍射图案。可以确定的是,在一些情况下,并且取决于方法100的操作的替代顺序及/或基于本发明实施例所述的各种实施方式,孔隙板422可做为第一孔隙板或第二孔隙板,而孔隙板402或孔隙板412可以做为第三孔隙板。在一些实施例中,在计量装置300配置第三孔隙板之前,可以从光线305的光学路径中去除第一孔隙板或第二孔隙板(如果存在)。
当配置有第三孔隙板时,方法100可以选择性地包括对应第三孔隙板的组合的X-Y衍射图案的测量。因此,在一些实施例中,被测量的衍射图案可以包括光栅(例如线型组合光栅200、区段型组合光栅210、307)的X轴、Y轴方向元件。在一些实施例中,所测量的X-Y衍射资料可以被传送至图像处理器(例如图像处理器和/或控制器处理单元336)以用于进一步处理。在一些实施例中,当方法100包括(可选择性地)第三孔隙板时,且在图像处理器和/或控制器处理单元336接收所测量的X轴、Y轴衍射资料以及所测量的X-Y衍射资料之后,图像处理器和/或控制器处理单元336可以进行覆盖误差的判定。
如前文所述,上述计量装置300可以与微影系统整合。根据本发明实施例,图5描绘微影系统500的示意图。微影系统500还可以被统称为扫描器,上述扫描器可被操作以执行微影处理,且微影处理包括用相对应的辐射源曝光并且操作在特定的曝光模式中。在一些实施例中,微影系统500包括极紫外线(extreme ultraviolet(EUV))微影系统,且极紫外线微影系统被设计成透过极紫外线曝光来光阻层(resist layer)。因此,在一些实施例中,光阻层包括对极紫外线敏感的材料(例如EUV光阻)。图5的微影系统500包括多个子系统,例如辐射源502、照明器504、被配置以接收光掩模508的光掩模台506、投射光学器件510以及被配置以接收半导体基底516的基底台518。微影系统500的操作可被描述如下:来自辐射源502的EUV光被引导至照明器504(包括一组反射镜)并且投射到光掩模(反射光掩模)508上。反射的光掩模图像被引导至投射光学器件510,投射光学器件510聚焦EUV光并将EUV光投射到半导体基底516上以曝光沉积在半导体基底516上的EUV光阻层。此外,在一些实施例中,微影系统500的每个子系统可以容纳于并且操作在高真空环境中,借以减小EUV光被大气吸收的状况。
在一些实施例中,辐射源502可以用于产生EUV光。在一些实施例中,辐射源502包括等离子体源(plasma source),例如放电产生的等离子体(discharge produced plasma(DPP))或雷射产生的等离子体(laser produced plasma(LPP))。在一些实施例中,EUV光可以包括波长范围约1nm至约100nm的光线。在一个具体实施例中,辐射源502产生波长为约13.5nm的EUV光。因此,辐射源502也可以被称为EUV辐射源。在一些实施例中,辐射源502还包括收集器(collector),且可用于收集从等离子体源产生的EUV光并引导EUV光朝向诸如照明器504的影像光学器件。
如上文所述,来自辐射源502的光被引导至照明器504。在一些实施例中,照明器504可以包括反射光学器件(例如用于(EUV)微影系统500),例如单一个反射镜或具有多个反射镜的镜子系统,以便将源自辐射源502的光线引导至光掩模台506上,特别是引导至固定在光掩模台506上的光掩模508。在一些实施例中,照明器504可以包括区域板(zoneplate)(例如改善EUV灯的焦点)。在一些实施例中,照明器504可以被配置以根据特定的光瞳形状(pupil shape)来形成透过其中的EUV光,并且包括例如偶极(dipole)形状、四极(quadrapole)形状、环(annular)形、单光束形状、多光束形状及/或上述形状的组合。在一些实施例中,照明器504可操作以配置反射镜(亦即照明器504的反射镜)以向光掩模508提供预期中的照明。在一个实施例中,照明器504的反射镜可被配置为将EUV光反射到不同的照明位置。在一些实施例中,照明器504之前的装置可以另外包括其他可配置的反射镜,且可用于将EUV光引导到照明器504内的反射镜的不同照明位置。在一些实施例中,照明器504被配置以对光掩模508提供轴上照明(on-axis illumination(ONI))。在一些实施例中,照明器504被配置以对光掩模508提供离轴照明(off-axis illumination(OAI))。应当注意,在(EUV)微影系统500中使用的光学器件(特别是用于照明器504和投影光学器件510的光学器件)可以包括具有被称为布拉格反射器(Bragg reflectors)的多层薄膜涂层的反射镜。在一些实施例中,这种多层薄膜涂层可以包括交替的Mo层和Si层,且在EUV波长(例如约13nm)处提供高反射率。
如上文所述,微影系统500还包括被配置以固定光掩模508的光掩模台506。由于微影系统500可以容纳于并且操作在高真空环境中,光掩模台506可以包括静电吸座(electrostatic chuck(e-chuck))以固定光掩模508。与(EUV)微影系统500的光学器件一样,光掩模508也是反射性的。如图5所示,光线从光掩模508反射并且指向投影光学器件510,且投影光学元件510收集从光掩模508反射的EUV光。在一些实施例中,由投影光学器件510收集的EUV光(从光掩模508反射)携带由光掩模508定义的图像。在一些实施例中,投影光学器件510可用以将光掩模508的图案成像到固定在微影系统500的基底台518上的半导体基底516上。特别地,在一些实施例中,投影光学器件510聚焦所收集的EUV光并将EUV光投射到半导体基底516上,借以曝光沉积在半导体基底516上的EUV光阻层。如上文所述,投影光学器件510可以包括反射光学器件,例如应用于EUV微影系统(例如微影系统500)。在一些实施例中,照明器504和投影光学器件510统称为微影系统500的光学模组。
在一些实施例中,微影系统500还包括光瞳相位调变器(pupil phase modulator)512,借以调整由光掩模508所引导的EUV光的光学相位,使得光线沿着投影光瞳平面(projection pupil plane)514具有相位分布。在一些实施例中,光瞳相位调变器512包括一种机构,该机构可调整用于相位调变的投影光学器件510的反射镜。例如,在一些实施例中,投影光学器件510的反射镜可被配置以透过光瞳相位调变器512反射EUV光,从而透过投影光学器件510调整光线的相位。在一些实施例中,光瞳相位调变器512使用设置在投影光瞳平面514上的光瞳滤光器。在一些实施例中,光瞳滤光器可用以滤出从光掩模508反射的EUV光的特定空间频率(spatial frequency)分量。在一些实施例中,光瞳滤光器可以做为相位光瞳滤波器(phase pupil filter),且调整透过投影光学器件510所引导的光线的相位分布。
如上文所述,微影系统500还包括用于固定待图案化的半导体基底516的基底台518。在一些实施例中,半导体基底516包括半导体晶片,诸如硅晶片、锗晶片、硅-锗晶片、III-V族晶片或如上所述或如本领域已知的其它类型的晶片。半导体基底516可以涂覆对EUV光线敏感的光阻层(例如EUV光阻层)。EUV光阻(EUV resists)可能会有严格的性能标准。为了说明的目的,可以设计EUV光阻以提供至少约22nm的分辨率、至少约2nm的线宽粗糙度(line-width roughness(LWR))并且具有至少约15mJ/cm2的敏感度。在一些实施例中,包括上述微影系统500的各种子系统被整合并且可被操作以执行包括EUV微影制程的微影曝光制程。可以肯定的是,微影系统500还可以包括可与上述一或多个子系统或组件整合(或耦合)的其他模组或子系统。另外,在一些实施例中,微影系统500可用于在半导体层及/或光阻层内进行一或多个光栅(例如线型组合光栅200、区段型组合光栅210、307)的图案化。
本发明实施例提供了优于现有技术的优点,应当理解,并不是所有优点都需要在本文中被讨论,并且所有实施例并无要求特别的优点,而其他实施例亦可提供不同的优点。举例而言,本发明实施例提供了一种利用应用于衍射图案测量的具有单极(single pole)的孔隙来进行DBO测量的方法。在一些实施例中,提供单极“X”孔隙板(aperture plate),用于沿X轴收集衍射图案;并且提供单极“Y”孔隙板,用于沿Y轴收集衍射图案。在一些实施例中,单极“X”和“Y”孔隙板可以与“X-Y”孔隙板互补使用,借以同时沿X轴、Y轴收集衍射图案。透过采用本发明实施例所述的单极“X”、“Y”孔隙板,即使对于积极地缩小的图案,也可以可靠地收集X轴、Y轴的衍射资料以及覆盖误差。因此,本发明实施例提供改良的覆盖误差测量,可以减少X、Y信号串扰并提供优异的目标(例如光栅)设计公差,而不对区段间距具有限制。此外,在一些实施例中,本发明实施例可以类似地应用于改进在至少一些当前技术中可能遭受X、Y信号串扰的光学临界尺寸(optical critical dimension(OCD))的测量技术。因此,本发明实施例可用于克服至少一些现有计量测量技术的各种缺点,包括覆盖误差测量技术。
因此,本发明实施例提供一种半导体装置的量测方法,包括使用第一孔隙板测量组合光栅的X轴衍射。在一些实施例中,第一孔隙板具备第一对辐射透射区域,且第一对辐射透射区域沿着第一直径轴线设置并且被设置在对准第一孔隙板的中心的光轴的相对侧上。继之,在一些实施例中,使用与第一孔隙板互补的第二孔隙板测量组合光栅的Y轴衍射。在一些实施例中,第二孔隙板具备第二对辐射透射区域,且第二对辐射透射区域沿着第二直径轴线设置并且被设置在光轴的相对侧上。在一些实施例中,第二直径轴线基本上垂直于第一直径轴线。
在一些实施例中,半导体装置的量测方法还包括基于组合光栅的被量测到的X轴衍射和被测量的Y轴衍射,判定组合光栅的覆盖误差。
在一些实施例中,组合光栅包括线型光栅或区段型光栅。
在一些实施例中,组合光栅包括区段型光栅,其中区段临界尺寸约为330nm,其中沿着Y轴的区段间距约为500nm,且沿着X轴的主间距约为600nm。
在一些实施例中,半导体装置的量测方法还包括:在测量组合光栅的Y轴衍射之后,使用与第一、第二孔隙板互补的第三孔隙板同时测量组合光栅的组合X轴、Y轴衍射,其中第三孔隙板具有第三对辐射透射区域,且第三对辐射透射区域沿着第三直径轴线设置以及设置在光轴的相对侧。第三直径轴线被定向以与第一直径轴线和第二直径轴线具有约45度的角度偏移。
在一些实施例中,半导体装置的量测方法还包括:基于组合光栅的被测量的X轴衍射、被测量的Y轴衍射以及被测量的组合X轴、Y轴衍射,判定组合光栅的覆盖误差。
在一些实施例中,组合光栅的被测量到的X轴衍射包括+1级衍射信号和-1级衍射信号,且+1级衍射信号和-1级衍射信号是由第一对辐射透射区域所提供。
在一些实施例中,组合光栅的被测量到的Y轴衍射包括+1级衍射信号和-1级衍射信号,且其中+1级衍射信号和-1级衍射信号是由第二对辐射透射区域所提供。
在一些实施例中,组合光栅的被测量到的组合X轴、Y轴衍射包括对应于X轴方向元件和Y轴方向元件的+1阶衍射信号,以及对应于X轴方向元件和Y轴方向元件的-1阶衍射信号。+1级衍射信号和-1级衍射信号是由第三对辐射透射区域所提供。
在另一个实施例中,提供了一种量测操作方法。在此方法中,提供一计量装置。在一些实施例中,第一孔隙板耦接至计量装置,其中第一孔隙板具备第一对辐射透射区域,且第一对辐射透射区域沿着第一直径轴线设置并且被设置在光轴的相对侧上。在一些实施例中,执行第一衍射测量以在计量装置的感测器上形成第一衍射图案,其中第一衍射图案对应于组合光栅的X轴方向元件。在一些实施例中,进一步地将第二孔隙板耦接至计量装置,其中第二孔隙板具备第二对辐射透射区域,且第二对辐射透射区域沿着第二直径轴线设置并且被设置在光轴的相对侧上。在一些实施例中,第二直径轴线垂直于第一直径轴线。在一些实施例中,执行第二衍射测量以在计量装置的感测器上形成第二衍射图案,其中第二衍射图案对应于组合光栅的Y轴方向元件。
在一些实施例中,量测操作方法方法还包括提供第一孔隙板和第二孔隙板,其中第一孔隙板和第二孔隙板包括金属和金属合金中的至少一种。
在一些实施例中,量测操作方法还包括将第三孔隙板耦接至计量装置。第三孔隙板具有第三对辐射透射区域,且第三对辐射透射区域沿着第三直径轴线设置且设置在光轴的相对侧上。第三直径轴线被定向以与第一直径轴线和第二直径轴线具有约45度的角度偏移。执行第三衍射测量以在计量装置的感测器上形成第三衍射图案,其中第三衍射图案对应于组合光栅的X轴方向元件和Y轴方向元件。
在一些实施例中,量测操作方法方法还包括在计量装置的图像处理器接收第一衍射图案和第二衍射图案。基于第一衍射图案和第二衍射图案,判定组合光栅的覆盖误差。
在一些实施例中,组合光栅包括区段型光栅,且区段临界尺寸约为330nm。沿着Y轴的区段间距等于约500nm,而沿X轴的主间距约为600nm。
在一些实施例中,第一衍射图案和第二衍射图案用作光学临界尺寸测量的一部分。
在另一个实施例中,所讨论的是一种量测操作方法,包括提供第一金属孔隙板,其具有沿着第一直径轴线设置的第一对辐射透射区域。继之,在一些实施例中,辐射光束透过第一金属孔隙板引导以照射设置在基底上的组合光栅,其中组合光栅包括垂直定向元件以及水平定向元件,其中透过第一金属孔隙板引导的辐射光束提供对应于水平定向元件的第一衍射图案。在一些实施例中,第一金属孔隙板被第二金属孔隙板替换,第二金属孔隙板具有沿着第二直径轴线设置的第二对辐射透射区域,且第二直径轴线垂直于第一直径轴线,其中第一、第二金属孔隙板在计量装置中是可互换的。在一些实施例中,辐射光束透过第二金属孔隙板引导以照射组合光栅,其中透过第二金属孔隙板引导的辐射光束提供对应于垂直定向元件的第二衍射图案。
在一些实施例中,组合光栅包括形成在基底的不同层中的至少两个光栅,且所述至少两个光栅名义上(nominally)彼此对准。
在一些实施例中,量测操作方法还包括基于第一衍射图案和第二衍射图案,判定所述至少两个光栅之间的覆盖误差。
在一些实施例中,第一衍射图案包括X轴衍射资料,且第二衍射图案包括Y轴衍射资料。
在一些实施例中,量测操作方法还包括用具有沿着第三直径轴线设置的第三对辐射透射区域的第三金属孔隙板替换第二金属孔隙板。第三直径轴线被定向以与第一直径轴线和第二直径轴线具有约45度的角度偏移。第一、第二和第三金属孔隙板在计量装置中可互换。量测操作方法还包括引导辐射光束通过第三金属孔隙板以照射组合光栅,其中透过第三金属孔隙板引导的辐射光束提供对应于水平方向元件和垂直方向元件的第三衍射图案。
前述内文概述了许多实施例的特征,使本技术领域中具有通常知识者可以从各个方面更佳地了解本揭露。本技术领域中具有通常知识者应可理解,且可轻易地以本揭露为基础来设计或修饰其他制程及结构,并以此达到相同的目的及/或达到与在此介绍的实施例等相同的优点。本技术领域中具有通常知识者也应了解这些相等的结构并未背离本揭露的发明精神与范围。在不背离本揭露的发明精神与范围的前提下,可对本揭露进行各种改变、置换或修改。

Claims (1)

1.一种半导体装置的量测方法,包括:
使用一第一孔隙板量测一组合光栅的X轴衍射,其中该第一孔隙板具备一第一对辐射透射区域,且该第一对辐射透射区域沿着一第一直径轴线设置并且被设置在对准该第一孔隙板的中心的一光轴的相对侧上;以及
使用与该第一孔隙板互补的一第二孔隙板测量该组合光栅的Y轴衍射,其中该第二孔隙板具备一第二对辐射透射区域,且该第二对辐射透射区域沿着一第二直径轴线设置并且被设置在该光轴的相对侧上,其中该第二直径轴线基本上垂直于该第一直径轴线。
CN201710914496.7A 2017-06-29 2017-09-30 半导体装置的量测方法 Active CN109216221B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/637,910 US10663633B2 (en) 2017-06-29 2017-06-29 Aperture design and methods thereof
US15/637,910 2017-06-29

Publications (2)

Publication Number Publication Date
CN109216221A true CN109216221A (zh) 2019-01-15
CN109216221B CN109216221B (zh) 2023-05-23

Family

ID=64738041

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201710914496.7A Active CN109216221B (zh) 2017-06-29 2017-09-30 半导体装置的量测方法

Country Status (3)

Country Link
US (2) US10663633B2 (zh)
CN (1) CN109216221B (zh)
TW (1) TWI742169B (zh)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10663633B2 (en) 2017-06-29 2020-05-26 Taiwan Semiconductor Manufacturing Co., Ltd. Aperture design and methods thereof
JP7035751B2 (ja) * 2018-04-12 2022-03-15 富士通株式会社 コード変換装置、コード変換方法、及びコード変換プログラム
US11359916B2 (en) * 2019-09-09 2022-06-14 Kla Corporation Darkfield imaging of grating target structures for overlay measurement
US11270950B2 (en) 2019-09-27 2022-03-08 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for forming alignment marks
KR102421290B1 (ko) * 2019-09-27 2022-07-15 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 정렬 마크를 형성하기 위한 장치 및 방법

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1606775A (zh) * 2001-12-21 2005-04-13 皇家飞利浦电子股份有限公司 用于扫描光学记录载体的光学设备
CN101458464A (zh) * 2007-12-13 2009-06-17 Asml荷兰有限公司 对准方法、对准系统以及具有对准标记的产品
CN101819384A (zh) * 2009-02-11 2010-09-01 Asml荷兰有限公司 检验设备、光刻设备、光刻处理单元以及检验方法
CN101903832A (zh) * 2007-12-17 2010-12-01 Asml荷兰有限公司 基于衍射的重叠量测工具和方法
CN102483582A (zh) * 2009-08-24 2012-05-30 Asml荷兰有限公司 量测方法和设备、光刻设备、光刻处理单元和包括量测目标的衬底
CN104350424A (zh) * 2012-05-29 2015-02-11 Asml荷兰有限公司 量测方法和设备、衬底、光刻系统以及器件制造方法
US20160061589A1 (en) * 2014-08-29 2016-03-03 Asml Netherlands B.V. Metrology method, target and substrate

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6780775B2 (en) * 2001-01-24 2004-08-24 Infineon Technologies Ag Design of lithography alignment and overlay measurement marks on CMP finished damascene surface
DE60311810T2 (de) * 2002-06-25 2007-10-31 Asahi Kasei Chemicals Corp. Lichtempfindliche harzzusammensetzung für druckplatte, die durch laser graviert werden kann
US7288836B2 (en) * 2005-08-29 2007-10-30 United Microelectronics Corp. Stacked alignment mark and method for manufacturing thereof
US20100039628A1 (en) * 2008-03-19 2010-02-18 Nikon Corporation Cleaning tool, cleaning method, and device fabricating method
US8183701B2 (en) * 2009-07-29 2012-05-22 Taiwan Semiconductor Manufacturing Company, Ltd. Structure of stacking scatterometry based overlay marks for marks footprint reduction
CN103135365A (zh) * 2009-12-28 2013-06-05 株式会社尼康 液浸构件、液浸构件的制造方法、曝光装置、及元件制造方法
US8703389B2 (en) * 2011-06-25 2014-04-22 D2S, Inc. Method and system for forming patterns with charged particle beam lithography
KR101492205B1 (ko) * 2010-11-12 2015-02-10 에이에스엠엘 네델란즈 비.브이. 메트롤로지 방법 및 장치, 리소그래피 시스템, 및 디바이스 제조 방법
US8736084B2 (en) 2011-12-08 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for E-beam in-chip overlay mark
US8837810B2 (en) 2012-03-27 2014-09-16 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for alignment in semiconductor device fabrication
US9404743B2 (en) 2012-11-01 2016-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method for validating measurement data
US9093530B2 (en) 2012-12-28 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of FinFET
US9304403B2 (en) 2013-01-02 2016-04-05 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for lithography alignment
US8716841B1 (en) 2013-03-14 2014-05-06 Taiwan Semiconductor Manufacturing Company, Ltd. Photolithography mask and process
US8796666B1 (en) 2013-04-26 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with strain buffer layer and methods of forming the same
US9134633B2 (en) 2013-12-23 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for dark field inspection
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
CN106462076B (zh) * 2014-06-02 2018-06-22 Asml荷兰有限公司 设计度量目标的方法、具有度量目标的衬底、测量重叠的方法、以及器件制造方法
KR102025214B1 (ko) * 2015-02-04 2019-09-25 에이에스엠엘 네델란즈 비.브이. 계측 방법 및 장치, 컴퓨터 프로그램 및 리소그래피 시스템
US10663633B2 (en) 2017-06-29 2020-05-26 Taiwan Semiconductor Manufacturing Co., Ltd. Aperture design and methods thereof

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1606775A (zh) * 2001-12-21 2005-04-13 皇家飞利浦电子股份有限公司 用于扫描光学记录载体的光学设备
CN101458464A (zh) * 2007-12-13 2009-06-17 Asml荷兰有限公司 对准方法、对准系统以及具有对准标记的产品
CN101903832A (zh) * 2007-12-17 2010-12-01 Asml荷兰有限公司 基于衍射的重叠量测工具和方法
CN101819384A (zh) * 2009-02-11 2010-09-01 Asml荷兰有限公司 检验设备、光刻设备、光刻处理单元以及检验方法
CN102483582A (zh) * 2009-08-24 2012-05-30 Asml荷兰有限公司 量测方法和设备、光刻设备、光刻处理单元和包括量测目标的衬底
CN104350424A (zh) * 2012-05-29 2015-02-11 Asml荷兰有限公司 量测方法和设备、衬底、光刻系统以及器件制造方法
US20160061589A1 (en) * 2014-08-29 2016-03-03 Asml Netherlands B.V. Metrology method, target and substrate

Also Published As

Publication number Publication date
US11656391B2 (en) 2023-05-23
US20190004220A1 (en) 2019-01-03
US20200284954A1 (en) 2020-09-10
TW201905587A (zh) 2019-02-01
US10663633B2 (en) 2020-05-26
TWI742169B (zh) 2021-10-11
CN109216221B (zh) 2023-05-23

Similar Documents

Publication Publication Date Title
CN109216221A (zh) 半导体装置的量测方法
JP4563986B2 (ja) 実質的に透過性のプロセス層にマークを備える基板、デバイス製造方法
US10508906B2 (en) Method of measuring a parameter and apparatus
CN103913960A (zh) 用于光刻对准的系统和方法
CN107924132A (zh) 检查设备、检查方法和制造方法
TW200527147A (en) Composite optical lithography method for patterning lines of unequal width
JP6393397B2 (ja) リソグラフィ装置の照射線量決定方法、検査装置およびデバイス製造方法
KR102170147B1 (ko) 모듈레이션 기술을 이용한 메트롤로지를 위한 대체 타겟 디자인
CN110832398B (zh) 用于测量光刻设备的聚焦性能的方法、图案形成装置和设备、以及器件制造方法
TWI623822B (zh) 用以檢測基板的方法及電腦程式
CN109154786A (zh) 基于贯穿波长的相似性的度量强健性
CN108475024A (zh) 用于在检查系统中聚焦的方法和装置
US20190204750A1 (en) Automatic selection of metrology target measurement recipes
CN109073980A (zh) 量测设备的调节或基于已测量目标的特性而由量测设备进行的测量
US20050275841A1 (en) Alignment marker and lithographic apparatus and device manufacturing method using the same
CN110062912A (zh) 量测目标的方法、量测设备、偏振器组件
KR20010088316A (ko) 전사장치용 아베 아암 캘리브레이션 시스템
CN111051994B (zh) 用于测量光刻装置的焦点性能的方法和图案化设备与装置、器件制造方法
JP3972121B2 (ja) リソグラフィ投影装置
JP3583774B2 (ja) リソグラフィ装置およびデバイス製造方法
US10983440B2 (en) Selection of substrate measurement recipes
CN108121152A (zh) 微影光掩模
TW201734664A (zh) 藉由重建之度量衡
US20230194976A1 (en) Overlay target design for improved target placement accuracy
KR20240058872A (ko) 계측 데이터로부터의 소스 분리

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant