TWI742169B - 半導體裝置之量測方法及量測操作方法 - Google Patents

半導體裝置之量測方法及量測操作方法 Download PDF

Info

Publication number
TWI742169B
TWI742169B TW106133633A TW106133633A TWI742169B TW I742169 B TWI742169 B TW I742169B TW 106133633 A TW106133633 A TW 106133633A TW 106133633 A TW106133633 A TW 106133633A TW I742169 B TWI742169 B TW I742169B
Authority
TW
Taiwan
Prior art keywords
axis
aperture plate
diffraction
pair
diameter
Prior art date
Application number
TW106133633A
Other languages
English (en)
Other versions
TW201905587A (zh
Inventor
謝鴻志
吳鍇
陳彥良
陳開雄
鄭博中
柯志明
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201905587A publication Critical patent/TW201905587A/zh
Application granted granted Critical
Publication of TWI742169B publication Critical patent/TWI742169B/zh

Links

Images

Classifications

    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B5/00Optical elements other than lenses
    • G02B5/18Diffraction gratings
    • G02B5/1866Transmission gratings characterised by their structure, e.g. step profile, contours of substrate or grooves, pitch variations, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • GPHYSICS
    • G02OPTICS
    • G02FOPTICAL DEVICES OR ARRANGEMENTS FOR THE CONTROL OF LIGHT BY MODIFICATION OF THE OPTICAL PROPERTIES OF THE MEDIA OF THE ELEMENTS INVOLVED THEREIN; NON-LINEAR OPTICS; FREQUENCY-CHANGING OF LIGHT; OPTICAL LOGIC ELEMENTS; OPTICAL ANALOGUE/DIGITAL CONVERTERS
    • G02F1/00Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics
    • G02F1/29Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the position or the direction of light beams, i.e. deflection
    • G02F1/31Digital deflection, i.e. optical switching
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B5/00Optical elements other than lenses
    • G02B5/18Diffraction gratings
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • G03F1/44Testing or measuring features, e.g. grid patterns, focus monitors, sawtooth scales or notched scales
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2022Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure
    • G03F7/2024Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure of the already developed image
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03HHOLOGRAPHIC PROCESSES OR APPARATUS
    • G03H1/00Holographic processes or apparatus using light, infrared or ultraviolet waves for obtaining holograms or for obtaining an image from them; Details peculiar thereto
    • G03H1/26Processes or apparatus specially adapted to produce multiple sub- holograms or to obtain images from them, e.g. multicolour technique
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B5/00Optical elements other than lenses
    • G02B5/18Diffraction gratings
    • G02B5/1861Reflection gratings characterised by their structure, e.g. step profile, contours of substrate or grooves, pitch variations, materials

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Optics & Photonics (AREA)
  • Nonlinear Science (AREA)
  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Length Measuring Devices By Optical Means (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Diffracting Gratings Or Hologram Optical Elements (AREA)

Abstract

一種使用具有單極的孔隙進行繞射式疊對測量的方法,包括使用第一孔隙板測量組合光柵的X軸繞射。在一些實施例中,第一孔隙板具有第一對輻射透射區域,且第一對輻射透射區域沿著第一直徑軸線設置並且被設置在對準第一孔隙板之中心的光軸的相對側上。在一些實施例中,與第一孔隙板互補的第二孔隙板被用於測量組合光柵的Y軸繞射。在一種實施例中,第二孔隙板具有沿著第二直徑軸線並且在光軸的相對側上設置的第二對輻射透射區域。在一些情況下,第二直徑軸線基本上垂直於第一直徑軸線。

Description

半導體裝置之量測方法及量測操作方法
本發明實施例是有關於量測方法,特別是有關於半導體裝置的量測方法。
電子工業對於更小、更快之電子裝置的需求不斷地增長,上述電子裝置同時能夠支援更大量且日益複雜的功能。因此,半導體工業對於製造低成本、高性能和低功耗之積體電路的趨勢仍然持續地進行。目前為止,這些目標已大部分地上透過縮小半導體積體電路的尺寸(例如最小特徵尺寸)來實現,從而提高生產效率並且降低相關的成本。然而,這種尺寸縮減也增加了半導體製程的複雜度。因此,為了實現半導體積體電路和裝置的持續進步,需要在半導體製程和技術方面取得對應的進步。
舉例而言,半導體微影製程可以使用微影模板(lithographic template)(例如光罩)將圖案光學性地轉移到基底。在整個製造過程中可以週期性地測量這些圖案,藉以保持高度的覆蓋控制(例如圖案與圖案之間的對準)。隨著積體電路尺寸的持續縮減,加上新的圖案化技術(例如雙重圖案化(double patterning)),精確的覆蓋控制比以往更為重要。因此, 對能夠精確測量這些極度縮放之圖案的計量工具和技術變得相當重要。至少在一些現有的方法中,對應於主圖案特徵的訊號可能會受到來自相鄰圖案的訊號的影響(例如,源自緊密的圖案間距)。此外,訊號串擾(crosstalk)(例如沿著不同的平面)也可能對圖案測量產生不利的影響。
因此,現有技術在各個方面中,尚未完全滿足所需。
本發明實施例提供一種半導體裝置之量測方法,包括使用第一孔隙板量測組合光柵之X軸繞射,其中第一孔隙板具備第一對輻射透射區域,且第一對輻射透射區域沿著第一直徑軸線設置並且被設置在對準第一孔隙板之中心的光軸的相對側上;以及使用與第一孔隙板互補的第二孔隙板測量組合光柵之Y軸繞射,其中第二孔隙板具備第二對輻射透射區域,且第二對輻射透射區域沿著第二直徑軸線設置並且被設置在光軸的相對側上,其中第二直徑軸線基本上垂直於第一直徑軸線。
100:方法
102-112:操作
200:線型組合光柵
202、204、206、208、212、214、216、218:光柵
210:區段型組合光柵
SPY:區段間距
MPX:主間距
CD:區段臨界尺寸
300:計量裝置
302:輻射源
304、306:透鏡
305:光線
307:光柵
308、320:光線分束器
310:物鏡
312:孔隙板
316:半導體基底
318:基底台
323:第一分支
324、328、330:透鏡
325:第二分支
326、332:感測器
334:孔徑光闌
336:圖像處理器和/或控制器處理單元
402、412、422:孔隙板
404、405、414、415、424、425:輻射透射區域
+1~+1:級繞射
-1~-1:級繞射
424X、425X:X軸影像部分
424Y、425Y:Y軸影像部分
X:X軸
Y:Y軸
500:微影系統
502:輻射源
504:照明器
506:光罩台
508:光罩
510:投影光學器件
512:光瞳相位調變器
514:投影光瞳平面
516:半導體基底
518:基底台
根據以下的詳細說明並配合所附圖式做完整揭露。應注意的是,根據本產業的一般作業,圖示並未必按照比例繪製。事實上,可能任意的放大或縮小元件的尺寸,以做清楚的說明。
第1圖是依據本發明實施例之執行繞射圖案量測的方法流程圖。
第2A圖與2B圖分別是依據本發明實施例之線型組合光柵和區段型組合光柵。
第2C圖是依據本發明實施例之第2B圖之光柵的部分放大圖,用以說明區段尺寸與空間的量測。
第3圖是依據本發明實施例之包括散射儀之計量裝置的示意圖。
第4A、4B、4C圖是依據本發明實施例之孔隙板的示意圖,可被用以與第3圖的計量裝置一起使用。
第5圖是依據本發明實施例之微影系統的示意圖。
以下的揭露內容提供許多不同的實施例或範例以實施本案的不同特徵。以下的揭露內容敘述各個構件及其排列方式的特定範例,以簡化說明。當然,這些特定的範例並非用以限定。例如,若是本揭露書敘述了一第一特徵形成於一第二特徵之上或上方,即表示其可能包含上述第一特徵與上述第二特徵是直接接觸的實施例,亦可能包含了有附加特徵形成於上述第一特徵與上述第二特徵之間,而使上述第一特徵與第二特徵可能未直接接觸的實施例。另外,以下揭露書不同範例可能重複使用相同的參考符號及/或標記。這些重複係為了簡化與清晰的目的,並非用以限定所討論的不同實施例及/或結構之間有特定的關係。
此外,其與空間相關用詞。例如“在…下方”、“下方”、“較低的”、“上方”、“較高的”及類似的用詞,係為了便於描述圖示中一個元件或特徵與另一個(些)元件或特 徵之間的關係。除了在圖式中繪示的方位外,這些空間相關用詞意欲包含使用中或操作中的裝置之不同方位。裝置可能被轉向不同方位(旋轉90度或其他方位),則在此使用的空間相關詞也可依此相同解釋。另外,在本發明實施例中,技術用語“光罩”(mask、photomask、以及reticle)可用於表示微影模板(lithographic template),例如EUV光罩。
在半導體微影製程期間,使用微影模板(例如光罩)將圖案透過光學轉移到基底上。在整個製造過程中,可週期性地測量這些圖案,藉以保持高度的覆蓋控制(例如圖案與圖案之間的對準)。隨著積體電路尺寸的持續縮減,加上新的圖案化技術(例如雙重圖案化(double patterning)),精確的覆蓋控制比以往更為重要。因此,對能夠精確測量這些極度縮放之圖案的計量工具和技術變得相當重要。用於測量覆蓋誤差(overlay error)的方法中,至少一些特別具有成效的技術是採用繞射式(diffraction-based),並且通常可以被稱為繞射式覆蓋(diffraction-based overlay(DBO))方法。一種DBO方法的範例,可以在半導體基底的第一層中形成第一光柵(例如週期性圖案),並且可以在第一層上方的第二層中形成第二光柵。在一些情況下,第二層可以包括光阻層(resist layer)。在一些實施例中,第一光柵的間距和第二光柵的間距基本上可以是相同的,且第二光柵可以名義上地對齊第一光柵的頂部上方。透過測量由堆疊之第一、第二光柵所產生的繞射圖案的強度,可以獲得覆蓋誤差的程度。換句話說,如果在第一、第二光柵之間存在一些覆蓋誤差,則覆蓋誤差可以透過上述測量的繞射圖案 來檢測。在至少一些包括區段型光柵的既有光柵設計中,區段的間距可以類似於區段的尺寸。因此在一些情況下,對應於主圖案特徵(例如區段)的訊號可能會受到來自相鄰圖案的訊號(例如源自緊密的圖案間距)的影響。上述問題可能由於訊號串擾(signal crosstalk)而特別的明顯,例如在同時測量X-和Y-平面繞射圖案時可能發生問題。因此,現有的覆蓋誤差測量技術在各方面尚未完全滿足所需。
本發明實施例提供了優於現有技術的優點,應當理解,其他實施例可以提供不同的優點,但並不是所有優點都需要在本文中被討論,並且所有實施例並無要求特別的優點。舉例而言,本發明實施例提供了一種利用應用於繞射圖案測量之具有單極(single pole)(例如,也稱為單一極(monopole))的孔隙來進行DBO測量的方法。在一些實施例中,提供單極“X”孔隙板(aperture plate),用於沿X軸收集繞射圖案;並且提供單極“Y”孔隙板,用於沿Y軸收集繞射圖案。在一些實施例中,單極“X”、“Y”孔隙板可專門用於繞射圖案測量。然而,在某些情況下,單極“X”、“Y”孔隙板可以與“X-Y”孔隙板按順序使用,而“X-Y”孔隙板是被設計成同時沿著X軸和Y軸收集繞射圖案。透過採用如本發明實施例所描述的單極“X”、“Y”孔隙板,可以確實地收集X軸和Y軸的繞射數據,同時減輕訊號串擾。因此,本發明實施例提供了更好的目標設計公差,且不受限於區段間距的限制。此外,在各種實施例中,多個覆蓋樹(overlav trees)可以在相同的位置(例如堆疊),而不必屏蔽光柵。本領域具有通常知識者可了解本文所述的方法和裝置的其他益處和優 點,並且所描述的實施例不意味著超出所附申請專利範圍中具體敘述的內容。
參考第1圖之內容,第1圖描繪根據本發明之實施例之用於執行繞射圖案測量(例如DBO測量)的簡化之方法100的流程圖。應當注意,方法100的處理步驟(包括第2A、2B、2C、3、4A、4B、4C和5圖中的任何描述內容)是用於示範性的描述,並不用於在限制在申請專利範圍中具體敘述的內容。
方法100從操作102開始,操作102提供包括覆蓋光柵的半導體基底。在一些實施例中,基底可以是半導體晶圓,包括元素半導體(諸如晶體矽(crystal silicon)、多晶矽(polycrystalline silicon)、非晶矽(amorphous silicon)、鍺和金剛石)、化合物半導體(諸如碳化矽和砷化鎵)、合金半導體(諸如SiGe、GaAsP、AlInAs、AlGaAs和GaInP)或上述任何組合。
在一些實施例中,如前文所述,覆蓋光柵可以包括堆疊和名義上對準的第一光柵和第二光柵。根據本發明一些實施例,第2A、2B圖分別描繪線型組合光柵200和區段型組合光柵210。如圖所示,線型組合光柵200包括光柵202、204、206、208,而區段型組合光柵210包括光柵212、214、216、218。在各種實施例中,線型組合光柵200和區段型組合光柵210之四個光柵可以緊密地彼此間隔,使得所有四個光柵可以透過測量光束同時成像,如下文所述。此外,在覆蓋誤差測量的情況下,光柵202、204、206、208以及光柵212、214、216、218中的每一者,可以是由形成在半導體基底及/或光阻層之不同層中的光柵所構成的組合光柵。另外,在一些實施例中,為了便於覆 蓋誤差的測量,各種光柵(例如光柵202、204、206、208212、214、216、218)可被設計成包括指定的偏移(例如相對於底層堆疊之光柵)。應當注意,儘管線型組合光柵200和區段型組合光柵210被描繪成包括四個光柵,但在一些實施例中可以包括具有多於四個光柵的組合光柵矩陣。參考區段型組合光柵210,第2C圖描繪光柵214之一部分的放大視圖,並且描繪區段尺寸和間隔測量。根據一些實施例,區段的臨界尺寸(critical dimension)CD可以等於約330nm,沿著Y軸(區段間距SPY)的區段間距可以等於約500nm,沿X軸的主間距(主間距MPX)可以等於約600nm。可以確定的是,透過採用如本發明實施例所描述之單極“X”、“Y”孔隙板,可以使用其他區段臨界尺寸CD和間距值來確實地測量覆蓋誤差。舉例而言,在一些情況下,區段臨界尺寸CD可以等於約130nm,且區段間距SPY可以等於約300nm。
方法100進行到操作104,其中計量裝置被配置以具有第一孔隙板,藉以測量X軸繞射。在一些實施例中,計量裝置可以包括計量裝置300,如第3圖所示。在一些實施例中,計量裝置300可與微影系統整合,諸如第5圖所示。另一方面,計量裝置300可以被實現為獨立裝置。在一些實施例中,計量裝置300包括散射儀(scatterometer),用於測量形成在半導體基底316上的圖案(例如在基底台318上)。一般而言,可以使用散射儀來測量做為入射角和極化(polarization)之函數的繞射光的強度。因此,計量裝置300可以用於特徵化表面粗糙度、缺陷、顆粒密度、膜厚度、臨界尺寸、圖案覆蓋等。計量裝置300 包括輻射源302,輻射源302可發射光線305。如第3圖示,光線305經由透鏡304、306、光線分束器(beam splitter)308和物鏡310被引導到半導體基底316。在一些實施例中,孔隙板312可被設置在透鏡304和透鏡306之間,例如,取決於由計量裝置300所執行的測量類型。孔隙板312的附加細節(包括可併入用於計量裝置300的各種孔隙板)將在下文之第4A、4B、4C圖中描述。應當注意,在各種實施例中,計量裝置300是可被配置的,因此本發明實施例之各種孔隙板可以被移除(手動地或自動地),且不同構造的孔隙板可被放置在計量裝置300中。
做為覆蓋測量的一部分,光線305可以被引導至半導體基底316的表面以及形成在半導體基底316上的一或多個光柵307(例如堆疊之週期性結構)。在一些實施例中,光柵307可以如前述第2A、2B和2C圖所示之內容,且計量裝置300可用於測量光柵之間的覆蓋誤差。在一些實施例中,光線305基於光柵307產生繞射並且被物鏡310收集。在一些實施例中,零級(0th order)及/或第一級(1st order)繞射訊號由物鏡310收集。被收集的繞射訊號可被引導至光線束器320,而光線分束器320將收集的訊號分成兩個分支(branch)。
在第一分支323中,透鏡324形成在感測器326上之目標(例如一或多個光柵307)的繞射光譜。在一些實施例中,感測器326可以包括電荷耦合裝置(charge-coupled device(CCD))或互補式金屬氧化物半導體(Complementary Metal-Oxide-Semiconductor(CMOS))感測器,並且可以利用第0級及/或1級繞射訊號來形成繞射光譜。在一些實施例中,第 一分支323和感測器326可用於覆蓋測量。在第二分支325中,透鏡328、330形成感測器332上之目標(例如一或多個光柵307)的圖像。如同感測器326,感測器332可以包括CCD或CMOS感測器。在一些實施例中,孔徑光闌(aperture stop)334亦被設置在第二分支325內的透鏡328、330之間,其中孔徑光闌334可用於阻擋第0級繞射訊號,使得形成在感測器332上的圖像僅由第1級繞射訊號所形成。因此,在一些實施例中,形成在感測器332上的圖像可以被稱為暗視場圖像(dark field image)。在一些實施例中,由感測器326和感測器332擷取的圖像可被傳送到圖像處理器和/或控制器處理單元336以進行額外的處理。雖然已經描述了孔徑光闌334的特定實施例,但是上述實施例並非用以對本發明實施例產生限制。在一些情況下,孔徑光闌可以包括被其他構造(例如軸上(on-axis)、離軸(off-axis)),上述構造可被設計成讓其他級(order)繞射光通過。
在一些實施例中,圖像處理器和/或控制器處理單元336可用於處理在感測器326、332上形成的圖像。特別地,圖像處理器和/或控制器處理單元336可以識別對應於光柵307的不同圖案的分離圖像(例如線條及/或區段);判定每個不同影像圖案的強度;並且可以判定覆蓋誤差。在一些實施例中,圖像處理器和/或控制器處理單元336可以先接收X軸和Y軸繞射資料(透過至少使用第一孔隙板(例如用於測量X軸繞射)與第二孔隙板(例如用於測量Y軸繞射)的一系列測量),進一步地,圖像處理器和/或控制器處理單元336可以判定覆蓋誤差。此外,在一些實施例中,圖像處理器和/或控制器處理單元336可 以(透過至少使用第一孔隙板(例如用於測量X軸繞射)、第二孔隙板(例如用於測量Y軸繞射)以及第三孔隙板(例如用於同時測量X軸與Y軸繞射)的一系列測量)先接收X軸、Y軸繞射資料以及組合的X-Y訊號,進一步地,圖像處理器和/或控制器處理單元336可以判定覆蓋誤差。
第4A圖描繪孔隙板402的示例性實施例,孔隙板402可與計量裝置300整合(例如代替孔隙板312)。孔隙板402可以是在繞射式覆蓋(diffraction-based overlay(DBO))方法中使用的一組互補孔隙的一個孔隙。在一些實施例中,孔隙板402對於輻射源302所發射的光線305而言是不透明的,使得入射在孔隙板402上的光線會被阻擋且無法穿透。在一些實施例中,孔隙板402可由金屬、金屬合金或其他合適的材料所製成。在一些實施例中,孔隙板402可以包括沉積在其上的一或多個塗層材料。在一些實施例中,孔隙板402具有環形形狀,且定義一中心,上述中心在計量過程中與光軸對準。可以將多個直徑軸線定義為通過孔隙板402的中心(例如垂直地與光軸交叉)並且處於孔隙板402的平面。
如第4A圖所示,孔隙板402還包括定義在孔隙板402中的一對輻射透射區域(a pair of radiation-transmitting regions)。具體而言,一對輻射透射區域404、405被設置在第一直徑軸線上並且在光軸的相對側上。例如,一對輻射透射區域404、405彼此可以是在光軸上的鏡像(mirror-image)。在一個實施例中,孔隙板402用於沿著X軸收集繞射圖案,並且可在此稱為單極“X”孔隙板。換句話說,在一些實施例中,孔隙板402 可以用於X定向圖案(X-oriented patterns),在一些實施例中可以包括垂直線圖案。在一些實施例中(如第4A圖所示),輻射透射區域404可以用於對+1級繞射訊號進行成像(例如經由感測器326),且輻射透射區域405可以用於對-1級繞射訊號進行成像。在一些實施例中,輻射透射區域404、405可以包括透明或半透明材料、開口及/或其他合適的材料。此外,由於一對輻射透射區域404、405是相對於光軸並且偏離中心設置,孔隙板402可被配置以提供光柵307的離軸照明(off-axis illumination)。在一些實施例中,操作104的第一孔隙板可以包括孔隙板402。然而,在一些情況下,並且取決於方法100之操作的替代順序及/或基於本發明實施例所述的各種實施方式,操作104的第一孔隙板可以同樣地包括孔隙板412或孔隙板422,如下文所述。
第4B圖描繪孔隙板412的示例性實施例,孔隙板412可與計量裝置300整合(例如代替孔隙板312)。孔隙板412可以是在繞射式覆蓋方法中使用的一組互補孔隙的一個孔隙。在一些實施例中,孔隙板402、412是互補的孔隙板。舉例而言,在一個實施例中,孔隙板402可以設置在計量裝置300中,並且用於沿X軸收集繞射圖案。繼之,孔隙板412可以設置在計量裝置300中,並且用於沿Y軸收集繞射圖案。在一些實施例中,互補的孔隙板402、412可用於獨立地收集X軸和Y軸的繞射資料,藉以減少X訊號和Y訊號串擾的風險。
在一些實施例中,孔隙板412可以基本上類似於孔隙板402。然而如第4B圖所示,輻射透射區域的配置可以不同。 例如在孔隙板412中,一對輻射透射區域414、415被設置在第二直徑軸線上並且位於光軸的相對側上。在一些實施例中,第二直徑軸線基本上垂直於第一直徑軸線。例如,一對輻射透射區域414、415彼此可以是光軸上的鏡像。在一個實施例中,孔隙板412用於沿著Y軸收集繞射圖案,並且在此可以被稱為單極“Y”孔隙板。換句話說,在一些實施例中,孔隙板412可以用於Y定向圖案,孔隙板412在一些實施例中可以包括水平線圖案。在一些實施例中(如第4B圖所示),輻射透射區域414可以用於對+1級繞射訊號進行成像(例如透過感測器326),且輻射透射區域415可以用於對-1級繞射訊號進行成像。另外,由於一對輻射透射區域414、415是相對於光軸並且偏離中心設置,孔隙板412可被配置以提供光柵307的離軸照明。在一些實施例中,第二直徑軸線基本上垂直於第一直徑軸線(如第4A圖所示)。此外,在一些實施例中,上述兩個直徑軸線可以基本上垂直於計量裝置300的光軸。在一些實施例中,方法100的操作108的第二孔隙板可以包括孔隙板412。然而,在一些情況下,並且取決於方法100之操作的替代順序及/或基於本發明實施例所述的各種實施方式,第二孔隙板可以同樣地包括孔隙板402或孔隙板422。
第4C圖描繪孔隙板422的示例性實施例,孔隙板422可與計量裝置300整合(例如代替孔隙板312)。在一些實施例中,孔隙板422包括X-Y孔隙板,且X-Y孔隙板被設計成同時沿X軸和Y軸收集繞射圖案。如前文所述,在一些實施例中,單極“X”和“Y”孔隙板(例如孔隙板402、412)可以單獨地用於繞射 圖案測量。然而,在一些實施例中,孔隙板402、412可以與孔隙板422互補使用。例如,在一個實施例中,孔隙板402可以設置在計量裝置300中,並且用於沿X軸收集繞射圖案。繼之,孔隙板412可以設置在計量裝置300中,並且用於沿Y軸收集繞射圖案。此後,孔隙板422可以設置在計量裝置300中,並且用於沿X軸和Y軸同時地收集繞射圖案。在一些實施例中,互補之孔隙板402、412與孔隙板422一起使用,藉此可有效地減少X、Y訊號串擾的風險並且改善繞射圖案測量完整性。
在一些實施例中,孔隙板422可以基本上類似於孔隙板402、412。然而,如第4C圖所示,輻射透射區域的配置可以不同。舉例而言,在孔隙板422中,一對輻射透射區域424、425設置在第三直徑軸線和光軸的相對側上。例如,一對輻射透射區域424、425彼此可以是光軸上的鏡像。在一個實施例中,孔隙板422用於沿著X軸和Y軸收集繞射圖案,並且在此可以稱為X-Y孔隙板(例如具有兩個極(pole))。在一些實施例中,由於第三直徑軸線被設置成相對於第一直徑軸線和第二直徑軸線之斜線(例如角度大約45度),所以一對輻射透射區域424、425中的每一者被配置成同時形成在X軸方向的圖案以及Y軸方向的圖案。如第4C圖所示,輻射透射區域424可以包括X軸影像部分424X和Y軸影像部分424Y。類似地,輻射透射區域425可以包括X軸影像部分425X和Y軸影像部分425Y。另外如第4C圖所示,輻射透射區域424可以經由X軸影像部分424X與Y軸影像部分424Y,對X-、Y-方向圖案之+1級繞射訊號進行成像(例如透過感測器326)。相似地,輻射透射區域425可以經由X軸影 像部分425X與Y軸影像部分425Y,對X-、Y-方向圖案之-1級繞射訊號進行成像(例如透過感測器326)。在一些實施例中,第三直徑軸線可以基本上垂直於計量裝置300的光軸。在一些實施例中,孔隙板422可以是方法100的第一孔隙板或第二孔隙板。然而,在一些情況下,並且取決於方法100之操作的替代順序及/或基於本發明實施例所述的各種實施方式,孔隙板422可以包括與第一、第二孔隙板以互補的方式使用的第三孔隙板,如如上文所述。
應當注意,上述輻射透射區域的配置、尺寸、開口角度以及直徑軸線是用於示例性說明,並不用以對本發明實施例進行任何限制。此外,可以改變輻射透射區域的配置、尺寸、角度、數量或類似特徵,藉以提供所執行之計量過程的最佳化效果。具體而言,可以改變配置、尺寸、角度等,藉以最佳化覆蓋誤差的測量並且減少X、Y訊號串擾。本領域具有通常知識者可以了解在不脫離本發明實施例之範圍的情況下改變示例性孔隙板的極的構造、尺寸或角度的益處。另外,諸如數值孔徑(numerical aperture(NA))、輻射波長、待測量圖案(例如光柵)的物理性質(例如間距、尺寸)等變異量將被認為適用於選擇極的配置、尺寸、形狀或角度。
方法100進行到操作106,操作106測量對應於第一孔隙板的X軸繞射圖案。在一些實施例中,第一孔隙板包括孔隙板402。因此,在一些實施例中,被測量的繞射圖案可以包括光柵的X軸方向元件(例如線型組合光柵200、區段型組合光柵210、307)。在一些實施例中,所測量的X軸繞射資料可被傳 送至圖像處理器(例如圖像處理器和/或控制器處理單元336)以用於進一步處理。
方法100進行到操作108,其中計量裝置被配置以具有第二孔隙板,藉以測量Y軸繞射。計量裝置可以基本上類似於上述計量裝置300。在一些實施例中,第二孔隙板可以包括上述的孔隙板412,且可以用於沿著Y軸收集繞射圖案。然而,在一些情況下,並且取決於方法100之操作的替代順序及/或基於本發明實施例所述的各種實施方式,第二孔隙板可以同樣地包括孔隙板402或孔隙板422。在一些實施例中,在計量裝置300配置第二孔隙板之前,第一孔隙板可以從光線305的光學路徑中移除。
方法100進行到操作110,操作110測量對應於第二孔隙板的Y軸繞射圖案。在一些實施例中,第二孔隙板包括孔隙板412。因此,在一些實施例中,所測量的繞射圖案可以包括光柵的Y軸方向元件(例如線型組合光柵200、區段型組合光柵210、307)。在一些實施例中,被測量的Y軸繞射資料可以被傳送至圖像處理器(例如圖像處理器和/或控制器處理單元336)以用於進一步處理。方法100進行到操作112,操作112判定覆蓋光柵的覆蓋誤差。在一些實施例中,在圖像處理器和/或控制器處理單元336接收被測量之X軸、Y軸繞射資料(例如在操作106和操作110中測量)之後,圖像處理器和/或控制器處理單元336可以進行覆蓋誤差的判斷。
在一些實施例中(例如方法100的一部分),計量裝置可以選擇性地配置第三孔隙板以測量組合的X-Y繞射訊號。 例如,在一些實施例中,第三孔隙板(例如孔隙板422)可以用於同時沿著X軸、Y軸收集繞射圖案。可以確定的是,在一些情況下,並且取決於方法100之操作的替代順序及/或基於本發明實施例所述的各種實施方式,孔隙板422可做為第一孔隙板或第二孔隙板,而孔隙板402或孔隙板412可以做為第三孔隙板。在一些實施例中,在計量裝置300配置第三孔隙板之前,可以從光線305的光學路徑中去除第一孔隙板或第二孔隙板(如果存在)。
當配置有第三孔隙板時,方法100可以選擇性地包括對應第三孔隙板之組合的X-Y繞射圖案的測量。因此,在一些實施例中,被測量的繞射圖案可以包括光柵(例如線型組合光柵200、區段型組合光柵210、307)的X軸、Y軸方向元件。在一些實施例中,所測量的X-Y繞射資料可以被傳送至圖像處理器(例如圖像處理器和/或控制器處理單元336)以用於進一步處理。在一些實施例中,當方法100包括(可選擇性地)第三孔隙板時,且在圖像處理器和/或控制器處理單元336接收所測量的X軸、Y軸繞射資料以及所測量的X-Y繞射資料之後,圖像處理器和/或控制器處理單元336可以進行覆蓋誤差的判定。
如前文所述,上述計量裝置300可以與微影系統整合。根據本發明實施例,第5圖描繪微影系統500的示意圖。微影系統500還可以被統稱為掃描器,上述掃描器可被操作以執行微影處理,且微影處理包括用相對應的輻射源曝光並且操作在特定的曝光模式中。在一些實施例中,微影系統500包括極紫外線(extreme ultraviolet(EUV))微影系統,且極紫外線微影 系統被設計成透過極紫外線曝光來光阻層(resist layer)。因此,在一些實施例中,光阻層包括對極紫外線敏感的材料(例如EUV光阻)。第5圖之微影系統500包括多個子系統,例如輻射源502、照明器504、被配置以接收光罩508的光罩台506、投影光學器件510以及被配置以接收半導體基底516的基底台518。微影系統500的操作可被描述如下:來自輻射源502的EUV光被引導至照明器504(包括一組反射鏡)並且投射到光罩(反射光罩)508上。反射的光罩圖像被引導至投影光學器件510,投影光學器件510聚焦EUV光並將EUV光投射到半導體基底516上以曝光沉積在半導體基底516上的EUV光阻層。此外,在一些實施例中,微影系統500的每個子系統可以容納於並且操作在高真空環境中,藉以減小EUV光被大氣吸收的狀況。
在一些實施例中,輻射源502可以用於產生EUV光。在一些實施例中,輻射源502包括電漿源(plasma source),例如放電產生之電漿(discharge produced plasma(DPP))或雷射產生之電漿(laser produced plasma(LPP))。在一些實施例中,EUV光可以包括波長範圍約1nm至約100nm的光線。在一個具體實施例中,輻射源502產生波長為約13.5nm的EUV光。因此,輻射源502也可以被稱為EUV輻射源。在一些實施例中,輻射源502還包括收集器(collector),且可用於收集從電漿源產生的EUV光並引導EUV光朝向諸如照明器504的影像光學器件。
如上文所述,來自輻射源502的光被引導至照明器504。在一些實施例中,照明器504可以包括反射光學器件(例如用於(EUV)微影系統500),例如單一個反射鏡或具有多個反 射鏡的鏡子系統,以便將源自輻射源502的光線引導至光罩台506上,特別是引導至固定在光罩台506上的光罩508。在一些實施例中,照明器504可以包括區域板(zone plate)(例如改善EUV燈的焦點)。在一些實施例中,照明器504可以被配置以根據特定的光瞳形狀(pupil shape)來形成透過其中的EUV光,並且包括例如偶極(dipole)形狀、四極(quadrapole)形狀、環(annular)形、單光束形狀、多光束形狀及/或上述形狀的組合。在一些實施例中,照明器504可操作以配置反射鏡(亦即照明器504之反射鏡)以向光罩508提供預期中的照明。在一個實施例中,照明器504的反射鏡可被配置為將EUV光反射到不同的照明位置。在一些實施例中,照明器504之前的裝置可以另外包括其他可配置的反射鏡,且可用於將EUV光引導到照明器504內之反射鏡的不同照明位置。在一些實施例中,照明器504被配置以對光罩508提供軸上照明(on-axis illumination(ONI))。在一些實施例中,照明器504被配置以對光罩508提供離軸照明(off-axis illumination(OAI))。應當注意,在(EUV)微影系統500中使用的光學器件(特別是用於照明器504和投影光學器件510的光學器件)可以包括具有被稱為布拉格反射器(Bragg reflectors)的多層薄膜塗層的反射鏡。在一些實施例中,這種多層薄膜塗層可以包括交替的Mo層和Si層,且在EUV波長(例如約13nm)處提供高反射率。
如上文所述,微影系統500還包括被配置以固定光罩508的光罩台506。由於微影系統500可以容納於並且操作在高真空環境中,光罩台506可以包括靜電吸座(electrostatic chuck(e-chuck))以固定光罩508。與(EUV)微影系統500的光學器件一樣,光罩508也是反射性的。如第5圖所示,光線從光罩508反射並且指向投影光學器件510,且投影光學元件510收集從光罩508反射的EUV光。在一些實施例中,由投影光學器件510收集的EUV光(從光罩508反射)攜帶由光罩508定義的圖像。在一些實施例中,投影光學器件510可用以將光罩508的圖案成像到固定在微影系統500之基底台518上的半導體基底516上。特別地,在一些實施例中,投影光學器件510聚焦所收集的EUV光並將EUV光投射到半導體基底516上,藉以曝光沉積在半導體基底516上的EUV光阻層。如上文所述,投影光學器件510可以包括反射光學器件,例如應用於EUV微影系統(例如微影系統500)。在一些實施例中,照明器504和投影光學器件510統稱為微影系統500的光學模組。
在一些實施例中,微影系統500還包括光瞳相位調變器(pupil phase modulator)512,藉以調整由光罩508所引導的EUV光的光學相位,使得光線沿著投影光瞳平面(projection pupil plane)514具有相位分佈。在一些實施例中,光瞳相位調變器512包括一種機構,該機構可調整用於相位調變之投影光學器件510的反射鏡。例如,在一些實施例中,投影光學器件510的反射鏡可被配置以透過光瞳相位調變器512反射EUV光,從而透過投影光學器件510調整光線的相位。在一些實施例中,光瞳相位調變器512使用設置在投影光瞳平面514上的光瞳濾光器。在一些實施例中,光瞳濾光器可用以濾出從光罩508反射的EUV光的特定空間頻率(spatial frequency)分量。在一些 實施例中,光瞳濾光器可以做為相位光瞳濾波器(phase pupil filter),且調整透過投影光學器件510所引導的光線的相位分佈。
如上文所述,微影系統500還包括用於固定待圖案化的半導體基底516的基底台518。在一些實施例中,半導體基底516包括半導體晶圓,諸如矽晶圓、鍺晶圓、矽-鍺晶圓、III-V族晶圓或如上所述或如本領域已知的其它類型的晶圓。半導體基底516可以塗覆對EUV光線敏感的光阻層(例如EUV光阻層)。EUV光阻(EUV resists)可能會有嚴格的性能標準。為了說明之目的,可以設計EUV光阻以提供至少約22nm的分辨率、至少約2nm的線寬粗糙度(line-width roughness(LWR))並且具有至少約15mJ/cm2的敏感度。在一些實施例中,包括上述微影系統500的各種子系統被整合並且可被操作以執行包括EUV微影製程的微影曝光製程。可以肯定的是,微影系統500還可以包括可與上述一或多個子系統或組件整合(或耦合)的其他模組或子系統。另外,在一些實施例中,微影系統500可用於在半導體層及/或光阻層內進行一或多個光柵(例如線型組合光柵200、區段型組合光柵210、307)的圖案化。
本發明實施例提供了優於現有技術的優點,應當理解,並不是所有優點都需要在本文中被討論,並且所有實施例並無要求特別的優點,而其他實施例亦可提供不同的優點。舉例而言,本發明實施例提供了一種利用應用於繞射圖案測量之具有單極(single pole)的孔隙來進行DBO測量的方法。在一些實施例中,提供單極“X”孔隙板(aperture plate),用於沿X軸 收集繞射圖案;並且提供單極“Y”孔隙板,用於沿Y軸收集繞射圖案。在一些實施例中,單極“X”和“Y”孔隙板可以與“X-Y”孔隙板互補使用,藉以同時沿X軸、Y軸收集繞射圖案。透過採用本發明實施例所述之單極“X”、“Y”孔隙板,即使對於積極地縮小的圖案,也可以可靠地收集X軸、Y軸之繞射資料以及覆蓋誤差。因此,本發明實施例提供改良的覆蓋誤差測量,可以減少X、Y訊號串擾並提供優異的目標(例如光柵)設計公差,而不對區段間距具有限制。此外,在一些實施例中,本發明實施例可以類似地應用於改進在至少一些當前技術中可能遭受X、Y訊號串擾的光學臨界尺寸(optical critical dimension(OCD))的測量技術。因此,本發明實施例可用於克服至少一些現有計量測量技術的各種缺點,包括覆蓋誤差測量技術。
因此,本發明實施例提供一種半導體裝置之量測方法,包括使用第一孔隙板測量組合光柵的X軸繞射。在一些實施例中,第一孔隙板具備第一對輻射透射區域,且第一對輻射透射區域沿著第一直徑軸線設置並且被設置在對準第一孔隙板之中心的光軸的相對側上。繼之,在一些實施例中,使用與第一孔隙板互補的第二孔隙板測量組合光柵之Y軸繞射。在一些實施例中,第二孔隙板具備第二對輻射透射區域,且第二對輻射透射區域沿著第二直徑軸線設置並且被設置在光軸的相對側上。在一些實施例中,第二直徑軸線基本上垂直於第一直徑軸線。
在一些實施例中,半導體裝置之量測方法還包括基於組合光柵之被量測到的X軸繞射和被測量的Y軸繞射,判 定組合光柵的覆蓋誤差。
在一些實施例中,組合光柵包括線型光柵或區段型光柵。
在一些實施例中,組合光柵包括區段型光柵,其中區段臨界尺寸約為330nm,其中沿著Y軸的區段間距約為500nm,且沿著X軸的主間距約為600nm。
在一些實施例中,半導體裝置之量測方法還包括:在測量組合光柵的Y軸繞射之後,使用與第一、第二孔隙板互補的第三孔隙板同時測量組合光柵的組合X軸、Y軸繞射,其中第三孔隙板具有第三對輻射透射區域,且第三對輻射透射區域沿著第三直徑軸線設置以及設置在光軸的相對側。第三直徑軸線被定向以與第一直徑軸線和第二直徑軸線具有約45度的角度偏移。
在一些實施例中,半導體裝置之量測方法還包括:基於組合光柵之被測量的X軸繞射、被測量的Y軸繞射以及被測量的組合X軸、Y軸繞射,判定組合光柵的覆蓋誤差。
在一些實施例中,組合光柵之被測量到的X軸繞射包括+1級繞射訊號和-1級繞射訊號,且+1級繞射訊號和-1級繞射訊號是由第一對輻射透射區域所提供。
在一些實施例中,組合光柵之被測量到的Y軸繞射包括+1級繞射訊號和-1級繞射訊號,且其中+1級繞射訊號和-1級繞射訊號是由第二對輻射透射區域所提供。
在一些實施例中,組合光柵之被測量到的組合X軸、Y軸繞射包括對應於X軸方向元件和Y軸方向元件的+1階繞 射訊號,以及對應於X軸方向元件和Y軸方向元件的-1階繞射訊號。+1級繞射訊號和-1級繞射訊號是由第三對輻射透射區域所提供。
在另一個實施例中,提供了一種量測操作方法。在此方法中,提供一計量裝置。在一些實施例中,第一孔隙板耦接至計量裝置,其中第一孔隙板具備第一對輻射透射區域,且第一對輻射透射區域沿著第一直徑軸線設置並且被設置在光軸的相對側上。在一些實施例中,執行第一繞射測量以在計量裝置的感測器上形成第一繞射圖案,其中第一繞射圖案對應於組合光柵的X軸方向元件。在一些實施例中,進一步地將第二孔隙板耦接至計量裝置,其中第二孔隙板具備第二對輻射透射區域,且第二對輻射透射區域沿著第二直徑軸線設置並且被設置在光軸的相對側上。在一些實施例中,第二直徑軸線垂直於第一直徑軸線。在一些實施例中,執行第二繞射測量以在計量裝置的感測器上形成第二繞射圖案,其中第二繞射圖案對應於組合光柵的Y軸方向元件。
在一些實施例中,量測操作方法方法還包括提供第一孔隙板和第二孔隙板,其中第一孔隙板和第二孔隙板包括金屬和金屬合金中的至少一種。
在一些實施例中,量測操作方法還包括將第三孔隙板耦接至計量裝置。第三孔隙板具有第三對輻射透射區域,且第三對輻射透射區域沿著第三直徑軸線設置且設置在光軸的相對側上。第三直徑軸線被定向以與第一直徑軸線和第二直徑軸線具有約45度的角度偏移。執行第三繞射測量以在計量裝 置的感測器上形成第三繞射圖案,其中第三繞射圖案對應於組合光柵的X軸方向元件和Y軸方向元件。
在一些實施例中,量測操作方法方法還包括在計量裝置的圖像處理器接收第一繞射圖案和第二繞射圖案。基於第一繞射圖案和第二繞射圖案,判定組合光柵的覆蓋誤差。
在一些實施例中,組合光柵包括區段型光柵,且區段臨界尺寸約為330nm。沿著Y軸的區段間距等於約500nm,而沿X軸的主間距約為600nm。
在一些實施例中,第一繞射圖案和第二繞射圖案用作光學臨界尺寸測量的一部分。
在另一個實施例中,所討論的是一種量測操作方法,包括提供第一金屬孔隙板,其具有沿著第一直徑軸線設置的第一對輻射透射區域。繼之,在一些實施例中,輻射光束透過第一金屬孔隙板引導以照射設置在基底上的組合光柵,其中組合光柵包括垂直定向元件以及水平定向元件,其中透過第一金屬孔隙板引導之輻射光束提供對應於水平定向元件的第一繞射圖案。在一些實施例中,第一金屬孔隙板被第二金屬孔隙板替換,第二金屬孔隙板具有沿著第二直徑軸線設置的第二對輻射透射區域,且第二直徑軸線垂直於第一直徑軸線,其中第一、第二金屬孔隙板在計量裝置中是可互換的。在一些實施例中,輻射光束透過第二金屬孔隙板引導以照射組合光柵,其中透過第二金屬孔隙板引導之輻射光束提供對應於垂直定向元件的第二繞射圖案。
在一些實施例中,組合光柵包括形成在基底之不 同層中的至少兩個光柵,且所述至少兩個光柵名義上(nominally)彼此對準。
在一些實施例中,量測操作方法還包括基於第一繞射圖案和第二繞射圖案,判定所述至少兩個光柵之間的覆蓋誤差。
在一些實施例中,第一繞射圖案包括X軸繞射資料,且第二繞射圖案包括Y軸繞射資料。
在一些實施例中,量測操作方法還包括用具有沿著第三直徑軸線設置的第三對輻射透射區域的第三金屬孔隙板替換第二金屬孔隙板。第三直徑軸線被定向以與第一直徑軸線和第二直徑軸線具有約45度的角度偏移。第一、第二和第三金屬孔隙板在計量裝置中可互換。量測操作方法還包括引導輻射光束通過第三金屬孔隙板以照射組合光柵,其中透過第三金屬孔隙板引導的輻射光束提供對應於水平方向元件和垂直方向元件的第三繞射圖案。
前述內文概述了許多實施例的特徵,使本技術領域中具有通常知識者可以從各個方面更佳地了解本揭露。本技術領域中具有通常知識者應可理解,且可輕易地以本揭露為基礎來設計或修飾其他製程及結構,並以此達到相同的目的及/或達到與在此介紹的實施例等相同之優點。本技術領域中具有通常知識者也應了解這些相等的結構並未背離本揭露的發明精神與範圍。在不背離本揭露的發明精神與範圍之前提下,可對本揭露進行各種改變、置換或修改。
100:方法
102-112:操作

Claims (10)

  1. 一種半導體裝置之量測方法,包括:使用一第一孔隙板量測一組合光柵之一X軸繞射,其中該第一孔隙板具備一第一對輻射透射區域,且該第一對輻射透射區域沿著一第一直徑軸線設置並且被設置在對準該第一孔隙板之中心的一光軸的相對側上;以及使用與該第一孔隙板互補的一第二孔隙板測量該組合光柵之一Y軸繞射,其中該第二孔隙板具備一第二對輻射透射區域,且該第二對輻射透射區域沿著一第二直徑軸線設置並且被設置在該光軸的相對側上,其中該第二直徑軸線基本上垂直於該第一直徑軸線。
  2. 如請求項1之半導體裝置之量測方法,更包括:在測量該組合光柵的該Y軸繞射之後,使用與該第一孔隙板和該第二孔隙板互補的一第三孔隙板同時測量該組合光柵的一組合X軸繞射和Y軸繞射,其中該第三孔隙板具有一第三對輻射透射區域,且該第三對輻射透射區域沿著一第三直徑軸線設置以及設置在該光軸的相對側上,其中該第三直徑軸線被定向以與該第一直徑軸線和該第二直徑軸線之每一者具有約45度的角度偏移。
  3. 一種量測操作方法,包括:提供一計量裝置;將一第一孔隙板耦接至該計量裝置,其中該第一孔隙板具有一第一對輻射透射區域,且該第一對輻射透射區域沿著一第一直徑軸線設置並且被設置在一光軸的相對側上; 執行一第一繞射測量以在該計量裝置的一感測器上形成一第一繞射圖案,其中該第一繞射圖案對應於一組合光柵的一X軸方向元件;將一第二孔隙板耦接至該計量裝置,其中該第二孔隙板具有一第二對輻射透射區域,且該第二對輻射透射區域沿著一第二直徑軸線設置並且被設置在一光軸的相對側上,其中該第二直徑軸線垂直於該第一直徑軸線;以及執行一第二繞射測量以在該計量裝置的該感測器上形成一第二繞射圖案,其中該第二繞射圖案對應於該組合光柵的一Y軸方向元件。
  4. 如請求項3之量測操作方法,更包括:將一第三孔隙板耦接至該計量裝置,其中該第三孔隙板具有一第三對輻射透射區域,且該第三對輻射透射區域沿著一第三直徑軸線設置且設置在一光軸的相對側上,其中該第三直徑軸線被定向以與該第一直徑軸線和該第二直徑軸線之每一者具有約45度的角度偏移;以及執行一第三繞射測量以在該計量裝置的該感測器上形成一第三繞射圖案,其中該第三繞射圖案對應於該組合光柵的該X軸方向元件和該Y軸方向元件。
  5. 一種量測操作方法,包括:提供一第一金屬孔隙板,該第一金屬孔隙板具有沿著一第一直徑軸線設置的一第一對輻射透射區域;透過該第一金屬孔隙板引導一輻射光束,以照射設置在一基底上的一組合光柵,其中該組合光柵包括複數垂直定向 元件以及複數水平定向元件,其中透過該第一金屬孔隙板引導之該輻射光束提供對應於該等水平定向元件的一第一繞射圖案;以一第二金屬孔隙板替換該第一金屬孔隙板,該第二金屬孔隙板具有沿著一第二直徑軸線設置的一第二對輻射透射區域,且該第二直徑軸線垂直於該第一直徑軸線,其中該第一金屬孔隙板和該第二金屬孔隙板在一計量裝置中是可互換的;以及透過該第二金屬孔隙板引導該輻射光束,以照射該組合光柵,其中透過該第二金屬孔隙板引導之該輻射光束提供對應於該等垂直定向元件的一第二繞射圖案。
  6. 如請求項5之量測操作方法,更包括:以具有沿著一第三直徑軸線設置的一第三對輻射透射區域的一第三金屬孔隙板替換該第二金屬孔隙板,該第三直徑軸線被定向以與該第一直徑軸線和該第二直徑軸線之每一者具有約45度的角度偏移,其中該第一金屬孔隙板、該第二金屬孔隙板和該第三金屬孔隙板在該計量裝置中是可互換的;以及透過該第三金屬孔隙板引導該輻射光束,以照射該組合光柵,其中透過該第三金屬孔隙板引導的該輻射光束提供對應於該等水平方向元件和該等垂直方向元件的一第三繞射圖案。
  7. 一種量測操作方法,包括:使用一第一孔隙板量測一組合光柵之一第一軸繞射,其中 該第一孔隙板具有一第一對輻射透射區域,且該第一對輻射透射區域沿著一第一直徑軸線設置並且被設置在一光軸的相對側上;以及使用與該第一孔隙板互補的一第二孔隙板量測該組合光柵之一第二軸繞射,其中該第二孔隙板具有一第二對輻射透射區域,且該第二對輻射透射區域沿著一第二直徑軸線設置並且被設置在該光軸的相對側上,其中該第二直徑軸線基本上垂直於該第一直徑軸線,並且該第一軸基本上垂直於該第二軸。
  8. 一種量測操作方法,包括:使用一第一孔隙板量測一組合光柵之一第一軸繞射,其中該第一孔隙板具有一第一對輻射透射區域,且該第一對輻射透射區域沿著一第一直徑軸線設置並且被設置在一光軸的相對側上;使用與該第一孔隙板互補的一第二孔隙板量測該組合光柵之一第二軸繞射,其中該第二孔隙板具有一第二對輻射透射區域,且該第二對輻射透射區域沿著一第二直徑軸線設置並且被設置在該光軸的相對側上,其中該第二直徑軸線基本上垂直於該第一直徑軸線,並且該第一軸基本上垂直於該第二軸;以及使用與該第一孔隙板和該第二孔隙板互補的一第三孔隙板量測該組合光柵之一組合第一軸繞射和第二軸繞射,其中該第三孔隙板具有一第三對輻射透射區域,且該第三對輻射透射區域沿著一第三直徑軸線設置並且被設置在該光軸 的相對側上,其中該第三直徑軸線不同於該第一直徑軸線和該第二直徑軸線。
  9. 一種量測操作方法,包括:基於來自一第一孔隙板的複數第一輻射透射區域的一+1級繞射訊號和一-1級繞射訊號,測量一組合光柵的一X軸繞射;以及基於來自一第二孔隙板的複數第二輻射透射區域的一+1級繞射訊號和一-1級繞射訊號,測量該組合光柵的一Y軸繞射。
  10. 如請求項9之量測操作方法,更包括:基於來自一第三孔隙板的複數第三輻射透射區域的對應於一X軸方向元件和一Y軸方向元件之每一者的一+1級繞射訊號,以及對應於該X軸方向元件和該Y軸方向元件之每一者的一-1級繞射訊號,測量該組合光柵的一組合X軸繞射和Y軸繞射;以及基於被測量的該X軸繞射、被測量的該Y軸繞射以及被測量的該組合X軸繞射和Y軸繞射,判定該組合光柵的一覆蓋誤差。
TW106133633A 2017-06-29 2017-09-29 半導體裝置之量測方法及量測操作方法 TWI742169B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/637,910 2017-06-29
US15/637,910 US10663633B2 (en) 2017-06-29 2017-06-29 Aperture design and methods thereof

Publications (2)

Publication Number Publication Date
TW201905587A TW201905587A (zh) 2019-02-01
TWI742169B true TWI742169B (zh) 2021-10-11

Family

ID=64738041

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106133633A TWI742169B (zh) 2017-06-29 2017-09-29 半導體裝置之量測方法及量測操作方法

Country Status (3)

Country Link
US (2) US10663633B2 (zh)
CN (1) CN109216221B (zh)
TW (1) TWI742169B (zh)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10663633B2 (en) 2017-06-29 2020-05-26 Taiwan Semiconductor Manufacturing Co., Ltd. Aperture design and methods thereof
JP7035751B2 (ja) * 2018-04-12 2022-03-15 富士通株式会社 コード変換装置、コード変換方法、及びコード変換プログラム
US11359916B2 (en) * 2019-09-09 2022-06-14 Kla Corporation Darkfield imaging of grating target structures for overlay measurement
KR102421290B1 (ko) * 2019-09-27 2022-07-15 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 정렬 마크를 형성하기 위한 장치 및 방법
US11270950B2 (en) 2019-09-27 2022-03-08 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for forming alignment marks

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2004000571A1 (ja) * 2002-06-25 2003-12-31 Asahi Kasei Chemicals Corporation レーザー彫刻可能な印刷原版用の感光性樹脂組成物
WO2009116625A1 (ja) * 2008-03-19 2009-09-24 株式会社ニコン クリーニング工具、クリーニング方法、及びデバイス製造方法
EP2521163A1 (en) * 2009-12-28 2012-11-07 Nikon Corporation Liquid immersion member, method for manufacturing liquid immersion member, exposure apparatus, and device manufacturing method
TW201305718A (zh) * 2011-06-25 2013-02-01 D2S Inc 用帶電粒子射束微影術形成圖案之方法及系統
US8716841B1 (en) * 2013-03-14 2014-05-06 Taiwan Semiconductor Manufacturing Company, Ltd. Photolithography mask and process
US8736084B2 (en) * 2011-12-08 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for E-beam in-chip overlay mark
TW201633009A (zh) * 2015-02-04 2016-09-16 Asml荷蘭公司 度量衡方法及設備、電腦程式及微影系統

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6780775B2 (en) * 2001-01-24 2004-08-24 Infineon Technologies Ag Design of lithography alignment and overlay measurement marks on CMP finished damascene surface
WO2003054865A2 (en) * 2001-12-21 2003-07-03 Koninklijke Philips Electronics N.V. Optical device for scanning an optical record carrier
US7288836B2 (en) * 2005-08-29 2007-10-30 United Microelectronics Corp. Stacked alignment mark and method for manufacturing thereof
SG153747A1 (en) * 2007-12-13 2009-07-29 Asml Netherlands Bv Alignment method, alignment system and product with alignment mark
NL1036245A1 (nl) * 2007-12-17 2009-06-18 Asml Netherlands Bv Diffraction based overlay metrology tool and method of diffraction based overlay metrology.
NL2004094A (en) * 2009-02-11 2010-08-12 Asml Netherlands Bv Inspection apparatus, lithographic apparatus, lithographic processing cell and inspection method.
US8183701B2 (en) * 2009-07-29 2012-05-22 Taiwan Semiconductor Manufacturing Company, Ltd. Structure of stacking scatterometry based overlay marks for marks footprint reduction
CN102483582B (zh) * 2009-08-24 2016-01-20 Asml荷兰有限公司 量测方法和设备、光刻设备、光刻处理单元和包括量测目标的衬底
US9140998B2 (en) * 2010-11-12 2015-09-22 Asml Netherlands B.V. Metrology method and inspection apparatus, lithographic system and device manufacturing method
US8837810B2 (en) 2012-03-27 2014-09-16 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for alignment in semiconductor device fabrication
US9535338B2 (en) * 2012-05-29 2017-01-03 Asml Netherlands B.V. Metrology method and apparatus, substrate, lithographic system and device manufacturing method
US9404743B2 (en) 2012-11-01 2016-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method for validating measurement data
US9093530B2 (en) 2012-12-28 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of FinFET
US9304403B2 (en) 2013-01-02 2016-04-05 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for lithography alignment
US8796666B1 (en) 2013-04-26 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with strain buffer layer and methods of forming the same
US9134633B2 (en) 2013-12-23 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for dark field inspection
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
NL2013293A (en) * 2014-06-02 2016-03-31 Asml Netherlands Bv Method of designing metrology targets, substrates having metrology targets, method of measuring overlay, and device manufacturing method.
JP6421237B2 (ja) * 2014-08-29 2018-11-07 エーエスエムエル ネザーランズ ビー.ブイ. メトロロジー方法、ターゲット、及び基板
US10663633B2 (en) 2017-06-29 2020-05-26 Taiwan Semiconductor Manufacturing Co., Ltd. Aperture design and methods thereof

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2004000571A1 (ja) * 2002-06-25 2003-12-31 Asahi Kasei Chemicals Corporation レーザー彫刻可能な印刷原版用の感光性樹脂組成物
WO2009116625A1 (ja) * 2008-03-19 2009-09-24 株式会社ニコン クリーニング工具、クリーニング方法、及びデバイス製造方法
EP2521163A1 (en) * 2009-12-28 2012-11-07 Nikon Corporation Liquid immersion member, method for manufacturing liquid immersion member, exposure apparatus, and device manufacturing method
TW201305718A (zh) * 2011-06-25 2013-02-01 D2S Inc 用帶電粒子射束微影術形成圖案之方法及系統
US8736084B2 (en) * 2011-12-08 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for E-beam in-chip overlay mark
US8716841B1 (en) * 2013-03-14 2014-05-06 Taiwan Semiconductor Manufacturing Company, Ltd. Photolithography mask and process
TW201633009A (zh) * 2015-02-04 2016-09-16 Asml荷蘭公司 度量衡方法及設備、電腦程式及微影系統

Also Published As

Publication number Publication date
US20190004220A1 (en) 2019-01-03
TW201905587A (zh) 2019-02-01
CN109216221A (zh) 2019-01-15
US20200284954A1 (en) 2020-09-10
US10663633B2 (en) 2020-05-26
CN109216221B (zh) 2023-05-23
US11656391B2 (en) 2023-05-23

Similar Documents

Publication Publication Date Title
TWI742169B (zh) 半導體裝置之量測方法及量測操作方法
CN106019850B (zh) Euv焦点监控系统和方法
US6855486B1 (en) Lithographic method and apparatus
JP3652296B2 (ja) 光学装置
JP6626208B2 (ja) リソグラフィ装置の焦点性能を測定するための方法、パターニングデバイス、計測装置、リソグラフィシステム、コンピュータプログラムおよびデバイス製造方法
CN109196630B (zh) 制造以相对装置特征旋转角度定向的计量标靶系统及方法
JP6731490B2 (ja) 照明システムおよびメトロロジシステム
KR102170147B1 (ko) 모듈레이션 기술을 이용한 메트롤로지를 위한 대체 타겟 디자인
JP2006108689A (ja) リソグラフィ装置のアポディゼーション測定
KR102257460B1 (ko) 리소그래피 공정 모니터링 방법
KR20190008321A (ko) 관통-파장 유사성에 기초한 계측 견실성 향상 기술
US11016397B2 (en) Source separation from metrology data
CN113196177B (zh) 量测传感器、照射系统、和产生具有能够配置的照射斑直径的测量照射的方法
TWI409592B (zh) 照明系統及光微影裝置
JP2004343081A (ja) デバイス製造方法、その方法で使用するためのマスク・セット、プログラム可能なパターン形成装置を制御するためのデータ・セット、マスク・パターンを作成する方法、およびコンピュータ・プログラム
JP2006245115A (ja) 露光方法及び装置
TW200921285A (en) Adjustment method, exposure method, device manufacturing method, and exposure apparatus
TW202013089A (zh) 光學無光罩
US20080055606A1 (en) Apparatus and method for inspecting a pattern and method for manufacturing a semiconductor device
JP2008172102A (ja) 測定方法及び露光装置
CN108121152A (zh) 微影光掩模
TWI570773B (zh) 微影系統中的微影製程、光罩與其產生方法
TWI836599B (zh) 判定來自統計獨立源之度量衡貢獻值之方法、判定微影程序之感興趣參數之方法及其相關聯電腦程式與非暫時性電腦程式載體
KR20150113809A (ko) 노출 강도를 조정함으로써 극성 불균형을 감소시키는 방법 및 시스템
TW202311807A (zh) 使用於度量衡系統中之光學元件