CN114585970A - 将测量数据拟合至模型和对性能参数分布建模的方法以及相关联的设备 - Google Patents

将测量数据拟合至模型和对性能参数分布建模的方法以及相关联的设备 Download PDF

Info

Publication number
CN114585970A
CN114585970A CN202080072295.7A CN202080072295A CN114585970A CN 114585970 A CN114585970 A CN 114585970A CN 202080072295 A CN202080072295 A CN 202080072295A CN 114585970 A CN114585970 A CN 114585970A
Authority
CN
China
Prior art keywords
model
substrate
measurement data
data
complexity
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202080072295.7A
Other languages
English (en)
Inventor
阿利亚斯加尔·基瓦尼詹巴汉
弗兰斯·雷尼尔·斯皮林
J·S·威尔登伯格
E·C·摩斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Holding NV
Original Assignee
ASML Holding NV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from EP19203752.1A external-priority patent/EP3809203A1/en
Application filed by ASML Holding NV filed Critical ASML Holding NV
Publication of CN114585970A publication Critical patent/CN114585970A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/706835Metrology information management or control
    • G03F7/706839Modelling, e.g. modelling scattering or solving inverse problems
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70516Calibration of components of the microlithographic apparatus, e.g. light sources, addressable masks or detectors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70508Data handling in all parts of the microlithographic apparatus, e.g. handling pattern data for addressable masks or data transfer to or from different components within the exposure apparatus
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70525Controlling normal operating mode, e.g. matching different apparatus, remote control or prediction of failure
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/706835Metrology information management or control
    • G03F7/706837Data analysis, e.g. filtering, weighting, flyer removal, fingerprints or root cause analysis
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/20Design optimisation, verification or simulation
    • G06F30/27Design optimisation, verification or simulation using machine learning, e.g. artificial intelligence, neural networks, support vector machines [SVM] or training a model
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N20/00Machine learning
    • G06N20/10Machine learning using kernel methods, e.g. support vector machines [SVM]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70641Focus

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Software Systems (AREA)
  • Evolutionary Computation (AREA)
  • Data Mining & Analysis (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • General Engineering & Computer Science (AREA)
  • Medical Informatics (AREA)
  • Artificial Intelligence (AREA)
  • Computer Hardware Design (AREA)
  • Geometry (AREA)
  • Computing Systems (AREA)
  • Mathematical Physics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Length Measuring Devices With Unspecified Measuring Means (AREA)

Abstract

披露了一种将测量数据拟合至模型的方法。该方法包括:获得与衬底的至少一部分的性能参数相关联的测量数据;以及通过在不允许测量数据与被拟合的模型之间的偏差超过阈值的同时使应用于模型的拟合参数的复杂性指标最小化,以将测量数据拟合至模型。

Description

将测量数据拟合至模型和对性能参数分布建模的方法以及相 关联的设备
相关申请的交叉引用
本申请要求2019年10月17日递交的欧洲申请19203752.1和2020年8月31日递交的欧洲申请20193618.4的优先权,上述欧洲申请的全部内容以引用的方式并入本文中。
技术领域
本发明涉及用于在光刻过程中将图案应用至衬底的方法和设备。
背景技术
光刻设备是将期望的图案应用至衬底上(通常应用至衬底的目标部分上)的机器。光刻设备可以用于例如制造集成电路(IC)。在这种情况下,可替代地被称为掩模或掩模版的图案形成装置可以用于产生待形成于IC的单独的层上的电路图案。该图案可以转移至衬底(例如,硅晶片)上的目标部分(例如,包括管芯的部分、一个管芯或若干管芯)上。通常通过成像至提供于衬底上的辐射敏感材料(抗蚀剂)层上来进行图案的转移。一般而言,单个衬底将包含被连续地图案化的相邻的目标部分的网络。已知的光刻设备包括:所谓的步进器,在所谓的步进器中,通过将整个图案一次曝光至目标部分上来照射每个目标部分;以及所谓的扫描仪,在所谓的扫描仪中,依靠通过辐射束在给定方向(“扫描”方向)上扫描图案的同时,平行或反向平行于该方向同步地扫描衬底来照射每个目标部分。还有可能通过将图案压印至衬底上来将图案从图案形成装置转移至衬底。
为了监控光刻过程,测量图案化的衬底的参数。参数可以包括,例如,形成于图案化的衬底中或衬底上的连续层之间的重叠误差和显影的光敏抗蚀剂的临界线宽(CD)。可以对产品衬底和/或对专用量测目标执行该测量。存在用于对在光刻过程中形成的显微结构进行测量的各种技术,包括使用扫描电子显微镜和各种特殊化工具。快速且非侵入性形式的特殊化检查工具是散射仪,在散射仪中,将辐射束引导至衬底的表面上的目标上,并且测量散射或反射的束的性质。已知两种主要类型的散射仪。光谱散射仪将宽带辐射束引导至衬底上并且测量散射至特定的窄角度范围内的辐射的光谱(随波长而变化的强度)。角分辨散射仪使用单色辐射束并且测量随角度而变化的散射辐射的强度。
已知的散射仪的示例包括US2006033921A1和US2010201963A1中描述的类型的角分辨散射仪。此类散射仪所使用的目标是相对较大(例如,40μm×40μm)的光栅,并且测量束产生小于光栅的光点(即,光栅填充不足)。除了通过重构造进行特征形状的测量以外,还可以使用此类设备来测量基于衍射的重叠,如已公开的专利申请案US2006066855A1中描述的。使用衍射阶的暗场成像的基于衍射的重叠量测实现对较小目标的重叠测量。可以在国际专利申请案WO2009/078708和WO2009/106279中找到暗场成像量测的示例,上述文件的全部内容以引用的方式特此并入本文中。在已公开的专利公开案US20110027704A、US20110043791A、US2011102753A1、US20120044470A、US20120123581A、US20130258310A、US20130271740A和WO2013178422A1中已经描述了该技术的进一步发展。这些目标可以小于照射光点并且可以被晶片上的产品结构围绕。可以使用复合光栅目标在一个图像中测量多个光栅。所有这些申请案的内容也以引用的方式并入本文中。
在执行诸如将图案施加于衬底上或测量此图案的光刻过程时,使用过程控制方法来监测和控制该过程。通常执行此类过程控制技术来获得对光刻过程的控制的校正。将期望改善此类过程控制方法。
发明内容
在本发明的第一方面中,提供一种将测量数据拟合至模型的方法,该方法包括:获得与衬底的至少一部分的性能参数相关联的测量数据;以及通过在不允许所述测量数据与被拟合的模型之间的偏差超过阈值的同时使应用于模型的拟合参数的复杂性指标最小化,以将测量数据拟合至模型。
在本发明的第二方面中,提供一种用于对性能参数分布建模的方法,该方法包括:获得与衬底的至少一部分的性能参数相关联的测量数据;以及通过模型的优化,基于测量数据对性能参数分布建模,其中,该优化使表示经受如下约束条件的被建模的性能参数分布的复杂性的成本函数最小化,该约束条件是:基本上所有被包括于测量数据内的点都处于来自被建模的性能参数分布的阈值内。
在本发明的其他方面中,提供:一种计算机程序,该计算机程序包括能够操作以当在适合的设备上运行时执行如第一方面所述的方法的程序指令;一种处理装置,该处理装置包括处理器和具有此类计算机程序的存储器;以及一种具有这种处理装置的光刻设备。
下文参考附图详细地描述本发明的其他方面、特征和优点,以及本发明的各种实施例的结构和操作。应该注意的是,本发明不限于本文中描述的具体实施例。本发明中仅出于说明性的目的来呈现此类实施例。基于本文中包含的教导,额外的实施例对于相关领域的技术人员而言将是显而易见的。
附图说明
现在将参考附图并以示例的方式来描述本发明的实施例,在附图中:
图1描绘光刻设备连同形成用于半导体器件的生产设施的其他设备;
图2示出处理参数的示例性来源;
图3示意性地说明确定用于控制光刻设备的校正的当前方法;
图4是概念性地说明支持向量机回归优化的重叠曲线图;
图5(a)和图5(b)分别是在x方向和y方向上相对于重叠误差的百分比良率的累积良率曲线图;
图6是描述输入空间与特征空间之间的映射和从特征空间至输出空间的拟合的“模型假设”的概念性示意图;以及
图7是根据本发明的实施例获得的针对实际区别标识和KB SVM估计的输出空间OS(用于感兴趣的参数的值)相对于输入空间IS(晶片位置)的曲线图。
具体实施方式
在详细地描述本发明的实施例之前,呈现可供实施本发明的实施例的示例性环境是有益的。
图1在200处将光刻设备LA示出为实施较大量的光刻制造过程的工业生产设施的一部分。在本示例中,制造过程适用于在诸如半导体晶片的衬底上制造半导体产品(集成电路)。技术人员将明白的是,可以通过在此过程的变型中处理不同类型的衬底来制造多种产品。半导体产品的生产仅用作现今具有巨大商业意义的示例。
在光刻设备(或简称为“光刻工具”200)内,在202处示出测量站MEA并且在204处示出曝光站EXP。在206处示出控制单元LACU。在此示例中,每个衬底均访问测量站和曝光站以便被施加图案。在光学光刻设备中,例如,图案转移单元或投影系统用于使用被调节的辐射和投影系统将产品图案从图案形成装置MA转移至衬底上。这是通过在辐射敏感型抗蚀剂材料层中形成图案图像来实现的。
本文中使用的术语“投影系统”应该广义地解释为涵盖适于所使用的曝光辐射或适于诸如浸没液体的使用或真空的使用的其他因素的任何类型的投影系统,包括折射型、反射型、反射折射型、磁性型、电磁型和静电型光学系统,或它们的任何组合。图案形成装置MA可以是将图案赋予通过图案形成装置传输或反射的辐射束的掩模或掩模版。众所周知的操作模式包括步进模式和扫描模式。众所周知,投影系统可以以多种方式与用于衬底和图案形成装置的支撑和定位系统协作,以将期望的图案应用至在整个衬底上的许多目标部分。可以使用可编程图案形成装置来代替具有固定图案的掩模版。例如,辐射可以包括在深紫外(DUV)波带或极紫外(EUV)波带中的电磁辐射。本发明也适用于其他类型的光刻过程,例如压印光刻和例如通过电子束进行的直写光刻。
光刻设备控制单元LACU控制各种致动器和传感器的所有移动和测量以接收衬底W和掩模版MA并且实施图案形成操作。LACU还包括用于实施与设备的操作相关的期望的计算的信号处理和数据处理能力。实际上,控制单元LACU将被实现为许多子单元的系统,每个子单元处置设备内的子系统或部件的实时数据获取、处理和控制。
在曝光站EXP处将图案应用至衬底之前,在测量站MEA处处理衬底,使得可以执行各种预备步骤。预备步骤可以包括:使用水平传感器来映射衬底的表面高度,以及使用对准传感器来测量衬底上的对准标记的位置。对准标记以规则的栅格图案被标称地布置。然而,由于在产生标记时的不准确度以及由于衬底在它的整个处理过程中发生的变形,标记偏离理想的栅格。因此,如果设备将以非常高的准确度在正确的位置处印刷产品特征,则除了测量衬底的位置和取向以外,对准传感器实际上还必须详细地测量在整个衬底区域上的许多标记的位置。设备可以是具有两个衬底台的所谓的双平台类型,每个衬底台都具有由控制单元LACU控制的定位系统。在曝光站EXP处正在曝光一个衬底台上的一个衬底的同时,可以在测量站MEA处将另一个衬底装载至另一个衬底台上,使得可以执行各种预备步骤。因此,对准标记的测量非常耗时,并且两个衬底台的设置能够使得装置的生产量显著增加。如果位置传感器IF不能够在衬底台处于测量站处和处于曝光站处时测量衬底台的位置,则可以设置第二位置传感器以使得在两个站处都能够追踪衬底台的位置。光刻设备LA可以例如是所谓的双平台类型,所谓的双平台类型具有两个衬底台和两个站——曝光站和测量站——可以在这两个站之间交换衬底台。
在生产设施内,设备200形成“光刻单元”或“光刻簇”的一部分,该“光刻单元”或“光刻簇”还包含涂覆设备208,该涂覆设备用于将光敏抗蚀剂和其他涂层涂覆至衬底W以通过设备200进行图案形成。在设备200的输出侧处,设置有烘烤设备210和显影设备212,以用于将曝光的图案显影为物理抗蚀剂图案。在所有这些设备之间,衬底处置系统负责支撑衬底以及将衬底从一个设备转移至下一个设备。通常被统称为轨道的这些设备被轨道控制单元控制,该轨道控制单元自身受管理控制系统SCS控制,该管理控制系统SCS还经由光刻设备控制单元LACU来控制光刻设备。因此,不同的设备可以被操作以使生产量和处理效率最大化。管理控制系统SCS接收选配方案信息R,该选配方案信息R非常详细地提供待执行以产生每个图案化的衬底的步骤的限定。
一旦已经在光刻单元中施加并且显影图案,则将图案化的衬底220转移至诸如在222、224、226处说明的其他处理设备。通过典型制造设施中的各种设备来实施较宽范围的处理步骤。出于示例的目的,此实施例中的设备222是蚀刻站,并且设备224执行蚀刻后退火步骤。在其他设备226等中,应用其他物理和/或化学处理步骤。可能需要许多类型的操作来制作真实的器件,诸如,材料的沉积、表面材料特性的改性(氧化、掺杂、离子注入等)、化学机械研磨(CMP)等。实际上,设备226可以表示在一个或更多个设备中执行的一系列不同的处理步骤。作为另一个示例,可以提供用于实施自对准多重图案化的设备和处理步骤,以基于通过光刻设备铺设的前兆图案来产生多个较小的特征。
众所周知,半导体器件的制造涉及此类处理的许多重复,以在衬底上逐层地利用适当的材料和图案构建器件结构。因此,到达光刻簇的衬底230可以是新制备的衬底,或者衬底230可以是先前已经在此簇中或在另一个设备中完全地处理过的衬底。类似地,依赖于所需要的处理,离开的设备226上的衬底232可以返回,以用于同一光刻簇中的后续图案形成操作,衬底232可以被指定以用于不同的簇中的图案形成操作,或者衬底232可以是待发送以用于切割和封装的成品。
产品结构的每一层均需要一组不同的过程步骤,并且在每一层处使用的设备226可以在类型方面是完全不同的。另外,即使在待由设备226施加的处理步骤在大型设施中名义上相同的情况下,也可以存在并行地工作以对不同的衬底执行步骤226的若干假设相同的机器。这些机器之间的较小设定或故障的差异可以意味着这些机器以不同的方式影响不同的衬底。即使是对于每个层相对地普遍的步骤,诸如蚀刻(设备222),也可以通过名义上相同但并行地工作以使生产量最大化的若干蚀刻设备来实施。此外,实际上,根据待蚀刻的材料的细节和诸如例如各向异性蚀刻的特殊要求,不同的层需要不同的蚀刻过程,例如化学蚀刻、等离子体蚀刻。
可以在如刚提及的其他光刻设备中执行先前和/或后续过程,并且可以甚至在不同类型的光刻设备中执行先前和/或后续过程。例如,在器件制造过程中在诸如分辨率和重叠的参数方面要求非常高的一些层相较于要求不太高的其他层可以在更先进的光刻工具中被执行。因此,一些层可以被曝光于浸没型光刻工具中,而其他层被曝光于“干式”工具中。一些层可以被曝光于在DUV波长下工作的工具中,而使用EUV波长辐射来曝光其他层。
为了正确且一致地曝光由光刻设备曝光的衬底,需要检查已曝光的衬底以测量诸如后续层之间的重叠误差、线粗细、临界尺寸(CD)等性质。因此,其中定位有光刻单元LC的制造设施还包括量测系统,该量测系统接收已经在光刻单元中被处理的衬底W中的一些或全部。将量测结果直接地或间接地提供至管理控制系统SCS。尤其在可以足够迅速地且快速地进行量测使得同一批次的其他衬底仍待曝光的情况下,如果检测到误差,则可以对后续衬底的曝光进行调整。此外,已曝光的衬底可能被剥离和返工以提高良率,或者被丢弃,由此避免对已知有缺陷的衬底执行进一步处理。在衬底的仅一些目标部分有缺陷的情况下,可以仅对良好的那些目标部分执行进一步曝光。
图1中还示出量测设备240,该量测设备被提供以用于在制造过程中在期望的平台处对产品的参数进行测量。现代的光刻生产设施中的量测站的常见示例是散射仪(例如暗场散射仪、角分析散射仪或光谱散射仪),并且它可以用于在设备222中蚀刻之前测量在220处显影的衬底的性质。在使用量测设备240的情况下,可以确定例如诸如重叠或临界尺寸(CD)的重要性能参数不满足已显影的抗蚀剂中的指定的准确度要求。在蚀刻步骤之前,存在经由光刻簇剥离已显影的抗蚀剂并且重新处理衬底220的机会。通过管理控制系统SCS和/或控制单元LACU206随着时间推移而进行较小的调整,可以使用来自设备240的量测结果242在光刻簇中维持图案形成操作的准确性能,由此使得制得不合格的产品并且需要返工的风险最小化。
另外,可以应用量测设备240和/或其他量测设备(未示出)来测量已处理的衬底232、234和待进入的衬底230的性质。可以在已处理的衬底上使用量测设备来确定诸如重叠或CD的重要参数。
多种技术可以用于改善图案再现至衬底上的准确度。图案至衬底上的准确再现不是IC生产中的唯一关注点。另一个关注点是良率,该良率通常测量器件制造商或器件制造过程每衬底可以生产多少个功能器件。多种方法可以用于提高良率。一种此类方法尝试使器件的生产(例如,使用诸如扫描仪的光刻设备将设计布局的一部分成像至衬底上)在处理衬底期间(例如,在使用光刻设备将设计布局的一部分成像至衬底上期间)对至少一个处理参数的扰动更有宽容度。重合过程窗口(OPW)的概念是用于此方法的有用的工具。器件(例如,IC)的生产可以包括其他步骤,诸如在成像之前、之后或期间的衬底测量;装载或卸载衬底;装载或卸载图案形成装置;在曝光之前将管芯定位于投影光学器件的下方;从一个管芯步进至另一个管芯等。另外,图案形成装置上的各种图案可以具有不同的过程窗口(即,将在规范内产生图案所依据的处理参数的空间)。与潜在的系统性缺陷相关的图案规范的示例包括检查颈缩、线拉回、线细化、CD、边缘放置、重叠、抗蚀剂顶部损耗、抗蚀剂底切和/或桥接。可以通过合并(例如,重合)每个单独的图案的过程窗口来获得图案形成装置上的图案的全部或一些(通常是特定区域内的图案)的过程窗口。这些图案的过程窗口由此被称为重合过程窗口。OPW的边界可以包含单独的图案中的一些图案的过程窗口的边界。换句话说,这些单独的图案限制OPW。这些单独的图案可以被称为“热点”或“过程窗口限制图案(PWLP)”,它们在本文中可以互换地使用。当控制光刻过程时,聚焦于热点上是可能的,并且通常是有经济性的。当热点没有缺陷时,很可能所有图案均没有缺陷。当处理参数的值在OPW之外的情况下,处理参数的值更接近于OPW时,或当处理参数的值在OPW之内的情况下,处理参数的值更远离OPW的边界时,成像变得对扰动更有宽容度。
图2示出处理参数250的示例性来源。一个来源可以是处理设备的数据210,诸如光刻设备的源、投影光学器件、衬底台等的参数、轨道的参数等。另一个来源可以是来自各种衬底量测工具的数据220,诸如衬底高度图、焦距图、临界尺寸均匀性(CDU)图等。可以在可应用的衬底经历防止衬底的返工的步骤(例如,显影)之前获得数据220。另一个来源可以是来自一个或更多个图案形成装置量测工具的数据230、图案形成装置CDU图、图案形成装置(例如,掩模)膜叠置参数变化等。又一个来源可以是来自处理设备的操作者的数据240。
光刻过程的控制通常基于反馈或前馈的测量值,然后使用例如场间(在整个衬底上的区别标识)或场内(在整个场内的区别标识)模型来建模。在管芯内,可以存在诸如存储区域、逻辑区域、接触区域等的分离的功能区域。每个不同的功能区域或不同的功能区域类型可以具有不同的过程窗口,每个过程窗口均具有不同的过程窗口中心。例如,不同的功能区域类型可以具有不同的高度,并且因此具有不同的最佳焦距设定。此外,不同的功能区域类型可以具有不同的结构复杂度并且因此具有围绕每个最佳焦距的不同的焦距公差(焦距过程窗口)。然而,由于控制栅格分辨率限制,将通常使用相同的焦距(或剂量或位置等)设定来形成这些不同的功能区域中的每一个。
基于(例如)先前形成的结构的测量值,通常使用对一个或更多个特定控制自由度的一个或更多个设定点校正的脱机计算来执行光刻控制。设定点校正可以包括对特定过程参数的校正,并且可以包括对特定自由度的设定的校正以补偿任何漂移或误差,使得被测量的过程参数保持在规范内(例如,在相对于最佳设定点或最佳值的允许的变化(例如,OPW或过程窗口)内)。例如,重要的过程参数是焦距,并且焦距误差自身可能显现于形成于衬底上的有缺陷的结构中。在典型的焦距控制回路中,可以使用焦距反馈方法。此方法可以包括量测步骤,该量测步骤可以例如通过使用基于衍射的焦距(DBF)技术来测量在已形成的结构上使用的焦距设定,其中,形成具有焦距依赖的不对称性的目标,使得随后可以通过目标上的不对称性的测量来确定焦距设定。然后,测得的焦距设定可以用于脱机确定对光刻过程的校正;例如,校正焦距偏移(散焦)的对掩模版台或衬底台中的一个或两个的位置校正。然后,可以将此脱机位置校正作为设定点最佳焦距校正传送至扫描仪,以用于由扫描仪进行直接致动。可以在数个批次上通过应用至一个或更多个后续批次中的每个衬底的平均(在所述批次上)的最佳焦距校正来获得测量结果。在其他二维(衬底平面)中使用类似的控制回路来控制重叠误差并且使重叠误差最小化。
图3说明此方法。图3示出馈送至执行优化算法320的脱机处理装置315的诸如产品布局、照射模式、产品微观形貌等的产品信息305和量测数据310(例如,根据先前产生的衬底测量的散焦数据或重叠数据)。优化算法320的输出是例如用于致动器的一个或更多个设定点校正/偏移325,所述致动器用于控制扫描仪335内的掩模版台和/或衬底台定位(在任何方向上,即在x、y和/或z方向上,其中,x和y是衬底平面方向并且z垂直于x和y);设定点校正325被计算,以补偿被包括于量测数据310内的任何偏移/误差(例如,散焦、剂量或重叠偏移/误差)。控制算法340(例如,调平算法)使用衬底特定的量测数据350来计算控制设定点345。例如,可以使用调平数据(例如,晶片高度图)计算调平曝光轨迹(例如,确定用于在光刻过程期间相对于掩模版台定位衬底台的相对移动或加速度轮廓)并且输出用于扫描仪致动器的位置设定点345。同样对于每个衬底,扫描仪335将设定点校正325直接应用于计算出的设定点345。在其他控制配置中,可以在扫描仪内执行优化以基于每个晶片提供优化校正(晶片间控制)。
优化算法(例如,在脱机处理装置和/或扫描仪内执行时)可以基于数个不同的评价函数,针对每一种控制机制都有一个评价函数。因此,在上述示例中,调平(或焦距)评价函数用于焦距控制(扫描仪z方向控制),该焦距控制不同于重叠(扫描仪x/y方向控制)评价函数、透镜像差校正评价函数等。在其他实施例中,可以针对这些控制机制中的一种或多种控制机制来共同优化控制。
无论优化的控制机制和控制方面如何,现有的优化方法通常依赖于执行基于最小二乘(例如,均方根(RMS))回归的优化或类似的此类回归。尽管某些测量结果比其他测量结果遭受更多的噪声和不可校正的误差,此类方法使得所有的测量结果都具有同等的重要性。更重要地,现有的方法可以尝试校正具有较小的重叠误差的管芯,并且因此无论如何都将潜在地以使否则略微地合格的管芯不合规范为代价来产出。当所有的测量结果都具有相同的权重时,估计器尝试在所有的测量结果之间找到折衷方案以减小各处的误差。这意味着即使易于合格的点被压下,这可能使其他管芯不合规范。此类方法对噪声数据是敏感的并且缺乏测量点。此外,此类方法可以估计用于区别标识的过高的值,所述区别标识稍后在优化中可能浪费致动器可能性(致动范围)而没有额外的益处。注意,估计的区别标识参数越大,在优化中达到致动器能力的极限的风险就越高。
此类RMS型回归方法具有过度拟合或拟合不足的倾向,并且对拟合的程度没有直接控制。在过度拟合的情况下,计算出的区别标识超过实际值,这可能是很有问题的。归一化模型不确定性(nMU)连同投影比率可以用于通过降低模型的复杂度来预测和防止过度拟合;然而,这些方法限制模型的选择。例如,众所周知,3阶模型不能拟合至仅两个数据点等。然而,这可以通过向拟合问题添加其他约束条件或成本函数来成为可能。在机器学习中被称为正则化的这种实践可以帮助拟合在概率意义上具有较低的样本外误差的模型。
为了解决这些问题,提出在优化的估计部分中使用支持向量机(SVM)回归技术的改进版本而不使用最小二乘拟合。与现有的最小二乘法相比,此优化技术将使用不同的成本函数和不同的约束条件集合。
因此,本文披露一种用于控制被配置为在光刻过程中将产品结构提供至衬底的光刻设备的方法,该方法包括:获得与衬底有关联的量测数据;以及基于该量测数据来优化用于光刻设备的控制评价函数,该优化包括对该控制评价函数执行支持向量机回归。
此方法的目标包括确定区别标识以使得:
·区别标识对于噪声数据是稳健的。
·区别标识可以轻松地处理较少的或稀疏的量测数据。这可以减小量测负载并且提高生产量。
·区别标识尽可能小(但不是越小越好)以使得不浪费致动器范围。这可以腾出预算以用于其他校正。
·没有过度拟合是可能的:为了保持样本外误差尽可能接近样本内误差,机器学习技术(包括SVM)试图实现对取样具有可能的最小方差的模型。这是经由裕度最大化和正则化来实现的。此技术在统计上将在非测量位置处具有较小的误差。相反,最小二乘法仅使针对样本内误差(测量点)的误差最小化。
·估计的区别标识模型足够良好地描述测得的数据。
SVM回归方法通过基本上在重叠值较小(例如,在阈值∈之内)的情况中牺牲/折衷、以及使用所述自由度来校正具有较大的误差的管芯(例如,否则该管芯将几乎是合格的管芯)起作用。更具体地,SVM回归方法尝试找到相对于用于所有训练数据的已知值(例如,训练数据)具有最大∈偏差的函数f(x),并且同时尽可能平坦(非复杂的)。换句话说,如果误差小于∈,则接受并且忽略误差。基本SVM回归中不容许大于∈的偏差,然而,在实际环境中,得到的优化问题将通常是不可行的。为了解决此问题,松弛变量ξi
Figure BDA0003596101480000121
可以用于调节异常值。
图4在概念上说明SVM回归。图4是图上的每个点表示重叠误差值的重叠曲线图(例如,重叠分量(例如,dx或dy)相对于晶片位置坐标的曲线图)。注意,这仅是为了便于表示的2D曲线图,在实际的重叠建模中,dx和dy重叠分量两者都将作为x和y的函数进行建模。参数∈限定可接受的裕度或重叠误差,并且可以由使用者来选择。在虚线HP内的白点(白点指代由裕度∈限定的超平面的范围),即,具有小于∈的幅值的那些点不会导致成本。换句话说,当执行SVM回归时基本上忽略这些值;这些值被视为表示足够良好的重叠并且因此不需要任何校正。灰色点是最接近超平面的点;这些点被称为支持向量点。支持向量点是确定SVM回归(实线)SVM的基函数。黑点是异常值或误差支持向量。松弛变量用于处理这些点,以使这些点与虚线的距离最小化(例如,第一范数)。这样,由SVM回归产生的模型SVM仅依赖于训练数据的子集,这是因为用于构建模型的成本函数忽略接近模型预测(在阈值∈内)的任何训练数据。为了进行对比,还示出了至相同的数据点的最小二乘拟合LS(点虚线),最小二乘拟合LS显示了过度拟合(过度复杂)的标记。
现在将描述最小二乘回归与SVM回归之间的差的高度简化的数学描述。尽管示例使用重叠作为直接使用的情况,但是该方法绝不专门用于估计重叠的区别标识。本文中披露的SVM回归技术同样适合于诸如焦距、临界尺寸(CD)、对准、边缘放置误差等的任何参数和/或包括于光刻过程控制内的任何优化的区别标识估计。
对于最小二乘和SVM回归情况两者而言,模型可以表示为:
Ax=b
其中,A是所谓的“设计矩阵”,该“设计矩阵”是通过评估测量的栅格上的重叠(或其他参数)模型而产生的;项x是所谓的“模型参数”并且是包括区别标识参数的向量:例如“k参数”或典型的六参数模型的参数(x/y平移参数:Tx、Ty,对称/不对称放大参数:Ms、Ma,对称/不对称旋转参数:Rs、Ra)或用于对区别标识建模的任何其他适合的模型的参数;并且项b是包括x方向和y方向两者中的所有测得的重叠值的向量(即,量测数据)。最小二乘回归优化的目标是找到使Ax-b最小化的模型参数x;即,最小二乘法使等式Ax=b中的误差的2-范数最小化:
Figure BDA0003596101480000131
其中,||.||是2-范数运算符。注意,斜体“x”将始终用于指代模型参数项,与指代空间坐标的非斜体“x”形成对照。
相反,在SVM回归技术中,优化旨在使区别标识参数的“复杂度”最小化,所述区别标识参数经受所有的测量结果由模型“充分解释”的约束条件。
区别标识参数的复杂度可以被限定为除了任何零阶参数(例如,重叠模型中的平移参数Tx和Ty)之外的保持参数值的向量的2范数。为了更好地理解在此内容背景中的复杂度的概念,应该理解来自机器学习中的以下概念:
·一般化:假设模型要被拟合至数据集合上。数据的第一部分(例如,第一半)用于训练(拟合)你的模型并且数据的第二部分(例如,第二半)用于验证曾经被训练的模型。数据的第一部分通常被称为样本内数据并且数据的第二部分通常被称为样本外数据。样本内误差与样本外误差之间的比率是模型的一般化的度量;即,模型在表示在拟合过程中未使用(未考虑)的样本外数据方面的成功度的度量。
·VC维度:Vapnik-Chervonenkis(VC)维度是模型的复杂度的度量。在神经网络中,通常使用二分法来测量VC维度。通常:VC维度越低,拟合就越普遍适用或越一般化。例如:在一维数据上包括总计三个参数的二阶模型可以比在相同的数据上拟合的具有总计四个参数的三阶模型更好地一般化(在这种情况下,参数的数目等于VC维度)。应该明白的是,虽然通常指明参数的数目不应该超过测量结果的数目,但这通常是不正确的。实际上,VC维度(非参数)的数目应该小于测量结果的数目。参数的数目不一定与VC维度相等。例如,可以使用包括10个测量结果的数据来拟合1000个参数模型;然而,如利用VC维度限定的拟合的复杂度不应高于10。
将完整的无限维度模型拟合至给定的数据集合上仍然是可能的;拟合诸如f(A,x)=b的非线性模型的常见实践是通过使用核函数来进行的。通过此类技术,可以在模型自身具有无限数目个参数的同时保持VC维度较低,这意味着样本外误差可以保持较低。
使用正则化技术可以使样本外误差保持接近样本内误差。正则化是阻止复杂的或灵活的模型的学习(或拟合)的技术(即,正则化有利于更简单的模型),从而保持VC维度较低并且避免过度拟合的风险。
可以基于对除了零阶项(即,偏差)以外的参数值的2-范数的优化来使模型的VC维度最小化。以重叠为例,这意味着使除了线性平移参数(Tx和Ty)以外的所有参数值最小化。稍后,VC维度由于此优化而减小的原因将变得显而易见,使得即使重叠模型具有极大数目的参数,VC维度也足够低以便普遍适用或一般化。
为了保持等式简单,对于此示例,假设重叠数据模型可以写成:
Ax+t=b
其中,t表示零阶(平移项)。然后,针对较低复杂度的优化问题变为模型参数的1-范数或2-范数的最小化;例如:
Figure BDA0003596101480000141
受限于所有的测量均由模型来充分地解释的准则。注意,||x||仅是本文中描述的方法中用于最小化的复杂度指标的一个示例。在其他实施例中,可以使加权范数最小化,例如:
Figure BDA0003596101480000151
其中,Q是x的任何正定矩形矩阵大小。Q可以包含关于使用某个模型参数的代价的信息。例如,如果不希望使用第一参数p1,而是使用第二参数p2(尽可能地)对此进行补偿,则相对于与参数p2相关的Q元素,可以给予与参数p1相关的Q元素以较高的权重,使得估计器不大可能使用参数p1作为参数p2。Q也可以用于使用Q矩阵的非对角线元素将使用相对成本分配至参数对或参数集合。
此准则意味着针对每个测量结果j:
Figure BDA0003596101480000152
其中,|.|表示绝对值。此约束条件表明所有测得的重叠值由具有比∈更好的准确度的模型来完全地解释。
然而,异常值和残差是几乎不可避免的。因此,应该调节此类异常值,但同时对其进行惩罚。这可以通过提供松弛变量来完成,由此优化问题可以写成:
Figure BDA0003596101480000153
受限于:
Figure BDA0003596101480000154
Figure BDA0003596101480000155
Figure BDA0003596101480000156
其中,ξ和ξ*是考虑到异常值的上松弛变量和下松弛变量,并且C是异常值惩罚系数,也称为“复杂度系数”。常数C(>0)确定拟合的平坦度(复杂度)与通过惩罚异常值来容许大于∈的偏差的程度之间的折衷。复杂度系数越高,选择复杂模型的用于模型的自由度就越大,以便更好地表示样本内数据。在一种极端情况下,与用于产生A矩阵的重叠模型无关,如果C=0,则解将简单地仅是零阶平移。在另一种极端情况下,C等于无限将意味着无论复杂度如何,最大误差总是保持小于某个值;例如类似于L范数(绝对最大值)优化(L<∈)。
优化应该确定复杂度系数C、裕度∈和松弛变量ξ,使得所有测得的数据在小于(例如,用户限定的)裕度∈的准确度之内由模型表示;否则,在这种情况不可能时,它们的误差(ξ)应该保持为最小值,限制条件是解不会因此而变得过于复杂。
为了将此优化问题转换为二次规划优化,可以采用拉格朗日乘数的方法。此方法将受约束问题转化为如下形式,使得仍然可以应用不受约束问题的衍生测试。在也满足等式约束的函数的任何静止点处,函数在该点处的梯度可以表示为约束条件的在该点处的梯度的线性组合,其中,拉格朗日乘数充当系数。函数的梯度与约束条件的梯度之间的关系导致初始问题的再形成,这被称为拉格朗日函数。因此,可以限定拉格朗日乘数α、α*、η、η*,并且拉格朗日函数L写成:
Figure BDA0003596101480000161
拉格朗日函数L可以在伴随公式中简单地转换为简单二次规划,其中,数据的内积形成成本函数并且C形成不等式约束:
Figure BDA0003596101480000162
受限于:
Figure BDA0003596101480000163
Figure BDA0003596101480000164
初始模型参数x是设计矩阵和获得的最优拉格朗日乘数的线性组合:
Figure BDA0003596101480000165
在解决了优化问题之后,变得显而易见的是,α(*)的大部分(即,αj
Figure BDA0003596101480000171
)的值为零。仅几个α(*)值包括非零值。非零α(*)值的数目是此问题的VC维度。因此,整个模型参数可以写成仅几个测量点的线性组合:
Figure BDA0003596101480000172
即使重叠模型是非常高阶的(例如,100个参数的阶),如果仅极少的(例如,6个)α(*)值是非零的,则模型的复杂度(VC维度)为6,并且模型像六参数(“6par”)模型一样普遍适用或一般化。然而,样本内误差和样本外误差两者均低至100参数式模型。
对应于非零α(*)并且还有助于区别标识参数x的数据值(矩阵A的列)中的每一个都被称为支持向量,这是因为它们是在高维空间中支持超平面的向量(因此,名称是支持向量机)。在先前段落的具体示例中,存在6个支持向量,其中,这些支持向量的每一个都是100维的并且一起支持100维的超平面。应该明白的是,优化的不是误差,也不是参数,而是α(*)。在(例如,使用Karush-Kuhn-Tucker(KKT)条件)优化之后确定偏差(或用于重叠情况的平移参数),该偏差不一定与数据的平均值相等。
总而言之,提出了使用SVM回归来拟合参数区别标识(例如,重叠)作为光刻过程优化的一部分。由于它的2D性质,呈其当前已知形式的SVM回归不能直接应用于区别标识数据,而呈其一般形式的SVM仅可以处理一维数据。因此,本文中描述了可以应用于2D区别标识数据的SVM技术的改进版本。
图5示出与使用最小二乘拟合(LSQ)方法进行建模相比,具有为0.45nm的目标裕度∈的SVM建模的结果的示例。图5(a)和图5(b)各自示出样本内误差(即,在测量点处的被建模误差)的累积曲线图。y轴示出低于或等于重叠值OVdx、OVdy(分别对应于图5(a)和图5(b))的样本内误差值的测量点的累积数目(呈百分比的形式)。因为SVM忽略目标裕度∈内的测量点,所以与使用LSQ方法的建模相比,SVM建模通常导致具有低于目标裕度∈的样本内误差的更少的测量点。然而,SVM建模通常导致具有处于目标裕度上的样本内误差(对应于用于每个曲线图的在∈处的竖直部分)的多个测量点。因此,由于SVM在低误差点上牺牲以在高误差点上获益,所以与使用LSQ方法的建模相比,预期SVM建模会导致更好的建模(即,更多的测量点具有小于或等于目标裕度的建模误差)。因此,SVM可以通过将所有的校正可能性集中于较大的误差上,而不是将校正可能性浪费在较小的误差上来改善良率。
通常在重叠建模(或对感兴趣的另一参数的建模)中并且在前述具体示例的情况下,需要在拟合之前假设区别标识模型;例如泽尼克、常规多项式或任何其他模型。然而,通过限定,不可能知道/保证不存在模型失配。这意味着不一定使用“假设”的重叠模型来对潜在的重叠准确地建模。
具有固定的预限定区别标识模型需要适合该假设的某个取样布局。例如,不可能利用例如仅适用于第二类模型的稀疏取样的重叠测量来更新用于第一类模型的区别标识(例如,确定每场校正的每曝光校正(CPE)区别标识)。对于固定的预限定“假设”模型,模型粒度是有类别的。例如,模型类别可以包括每场模型、平均场模型、上下扫描(SUSD)依赖性模型、每晶片、每卡盘或每批次模型。然而,模型不能部分地是这些类别中的一种;例如,模型可以不是“稍微每场”、“稍微每晶片”等。此类不灵活的方法不是理想的。真实的重叠将是机器重叠和过程区别标识的结果,所述机器重叠和过程区别标识不一定遵循模型限定。例如,掩模版加热诱发的变化部分地在场与场之间发生(场间分量);然而,掩模版加热诱发的变化也可能在整个平均场中部分地发生(场内分量)。虽然卡盘1可以稍微不同于卡盘2,但是用于这两个卡盘的透镜贡献可以是相同的等等。可以使用具有不同粒度的模型来建模来自不同卡盘的这些卡盘贡献。然而,使用核函数,所述核函数可以对掩模版加热和/或这些不同的卡盘贡献进行建模,而无需限定区别标识的粒度。
下文描述的实施例的实质是使用核函数以抽象方式限定模型的类别,而不是直接指定待拟合的模型。在此之后,优化的核函数可以由核函数限定的模型类别来形成,同时拟合至形成的核函数。
为了理解此概念背后的想法,重要的是仔细地检查估计/建模任务。对重叠/焦距/cd(或其他感兴趣的参数)的建模的基本概念是:
·假设能够用一组(例如,多项式)函数来描述测得的重叠/焦距/cd值。
·通过使误差指示符最小化来计算这些(例如,多项式)函数的系数。
例如,可以假设可以利用常规多项式来描述特定的模型区别标识。可以假设每个场或晶片或批次均具有不同的区别标识。这些陈述中的每一项都是假设。基于该假设,例如,通过使测量位置处的集体重叠误差(例如,第二范数)最小化,计算模型中假设的权重或“区别标识参数”。在此方法中,可以假设的模型复杂度和区别标识参数的数目受测量点的数目(和有效性)限制。在数学上,这对于最小二乘解而言确实这样,但是对于SVM而言未必如此。
在此实施例中提出了利用新的优化问题来替换前述假设和计算步骤两者,所述新的优化问题在数学上等同于假设“无限参数”式(或至少非常高维的)模型。非常高维的模型可以包括例如:超过500维、超过1000维、超过5000维、超过50000维、超过五百万维、或者无限维。
对此存在许多优点,包括:
·可以避免或至少减少模型失配。不需要选择模型并且不需要人工输入(因此,移除了失效模式)。实际上,感兴趣的知识和内容背景的参数累积于所谓的核函数中。
·可以使用一些过程/扫描仪知识来给予内容背景抽象化的意义,并且因此根据稀疏数据来估计非常复杂且准确的区别标识。
·可以在内容背景中给出用于时间的意义,从而实现对将来的批次的预测而不是进行时间滤波。注意,时间滤波以增加相位滞后或降低性能的某种延迟为代价来减少噪声。
·区别标识对于噪声数据是稳健的(由于ε密集的死带)。
·该方法可以更易于处理更少且不均匀的量测数据。这可以减小量测负载并且提高晶片厂的生产量。
·建模区别标识要尽可能小,以便更有效地使用致动器范围。例如,在两个数学描述可以描述同一区别标识的情况下,可以选择最小的一个数学描述以便不浪费致动能力。这可以腾出预算以用于其他校正。
·没有过度拟合并且没有拟合不足:为了保持样本外误差尽可能接近样本内误差,机器学习技术(包括SVM)试图获得对取样有可能的最小方差的模型。这是经由裕度最大化和正则化来实现的。此类技术在统计学上可以在非测量位置处具有较小的误差。
·估计的区别标识模型足够良好地描述测得的数据。使用此技术容易捕获不可能被任何其他模型捕获的区别标识。
该技术在良率曲线图中也具有与普通SVM中存在的表现相同的表现。
数学描述:
在SVM中,即使m小于n,nPar模型也可以拟合至m个数目的测量结果。为了说明将无限参数式模型拟合至有限数目个测量结果,将给出重叠示例。尽管示例使用重叠作为直接使用情况,但是该方法绝不专门用于重叠,并且可以用于诸如焦距、CD、对准、边缘放置等其他感兴趣的参数PoI。
如已经指明的,重叠估计问题通常被限定为:
Ax=b
其中,A是所谓的“设计矩阵”,它是通过评估测量栅格上的“重叠模型”而产生的。x是包含例如k参数的区别标识参数的向量,并且b是包含x方向和y方向上的所有测得的重叠值的向量。
模型假设被包括于设计矩阵A内:此矩阵的每一行是指晶片上的某个测量位置并且此矩阵的每一列表示模型中被假设的特定基函数(例如,多项式的单个项)。
Aij=在第i个测量点处估计的第j个基函数
每个基函数通常是位置的非线性函数。例如,38par每场模型的每个基函数是场(xf和yf)中的点相对于所述场的中心的位置的(非线性)函数。
Figure BDA0003596101480000201
其中,p和k是多项式的幂。假设模型或建模步骤实际上意味着假设如下函数:该函数将晶片上的每个点(与晶片相关联的每个内容背景参数)映射至更高维的空间中的另一点上。例如,用于具有100个场的晶片的38par每场每卡盘模型采用任一5维向量(每个场中的测量点;2维用于Xf、Yf;2维用于Xw、Yw并且1维用于卡盘ID(ChuckID)),然后将该任一5维向量映射至7600维的空间上(38Par*2个卡盘*100个场=7600)。这在形式上读作:
Figure BDA0003596101480000211
其中,nPar意味着参数的数目。该函数影响每个测量点i。在形式上:
Figure BDA0003596101480000212
被称为输入空间,
Figure BDA0003596101480000213
被称为特征空间,并且重叠(dx,dy)的值被称为输出空间。
图6在概念上说明模型假设。该图示出使用区别标识模型FP经由建模步骤MOD(假设)从输入空间IS至更高维的空间或特征空间FS的包括晶片坐标和内容背景的布局的隐式映射。特征空间FS包括设计矩阵A的列。然后,尝试在特征空间FS与输出空间OS之间进行线性拟合,包括度量或估计的重叠或其他感兴趣的参数PoI值。
本文中假设的问题是从设计矩阵A需要什么?甚至真的需要设计矩阵吗?
在最小二乘优化(和回归的许多其他形式)中,可以示出通常需要以下条件:
Figure BDA0003596101480000214
它应该是满秩的,或使用诸如Tikhonov等正则化技术来(依赖于模型)来变成满秩的。
然而对于SVM而言,需要以下条件:
K=ATA(nMeas×nMeas)
它可以不是满秩的,并且其中,nMeas是测量结果的数目。在SVM的内容背景中,K矩阵称被为核函数。实际上,Kij是特征空间中的i和j元素(即,向量)(分别与测量点i和j相关联)的内积。内积在数学中是两个向量的相似度的限定。因此,Kij描述测量点i和测量点j的相似程度。
具有不同数目个参数的不同的模型可以输出不同的值;然而,在核函数保持相同的大小并且核函数的值对于不同的模型变化不大时,模型将保持相似的感觉。例如,第一模型和第二模型两者均应该在晶片上的两个点的相似度方面在某种程度上达成一致。这样,如果两个点使用一个模型而具有相同的值,则该两个点使用另一个模型也不应该具有相差悬殊的值。
使用核函数,不必为了构造K而首先构造设计矩阵(A)。可以通过首先解析地产生核函数k来产生K矩阵;例如:
k(Xi,Xj)=φT(Xi)φ(Xj)
其中,φ被限定为映射函数。注意,任何模型都可以使用上述等式转换为核函数,仅需将与模型相关联的映射函数的每个元素相乘,估计在Xi、Xj处的值,并且将这些值求和(即,计算被映射函数φ横跨的特征空间中的两个向量i和j的内积)。例如,
φ=[1,x,x2,x3]
Figure BDA0003596101480000221
然而,为了使核函数有效,核函数不必对应于任何模型。在此之后,可以在每个测量位置上对该函数求值:
Kij=k(Xi,Xj)
它与首先构造设计矩阵A,然后将其与自身相乘完全一致。即使在非常难以创建或甚至不可能创建设计矩阵A的情况下,例如,当核函数描述无限维空间的内积时,此方法也允许创建核函数矩阵。
在数学上,对此核函数为有效的唯一要求是:它在核函数k被限定所针对的空间内应该是半正定的。因此,不要求检查映射函数φ是否实际存在。这意味着可以使用不对应于任何重叠模型的核函数,只要该核函数是半正定的。核函数可以被构造成使得该核函数对应于无限维模型。
在实施例中,核函数可以描述距离指标。距离指标可以是特征空间中的两个元素的内积。可替代地,距离指标可以是特征空间中的两个元素的分量之间的差的绝对值的和(例如k(X1,X2)=|1-1|+|X1-X2|+|X1 2-X2 2|+|X1 3-X2 3|)。
为了理解核函数的原则,给出以下示例。针对二维空间中的示例性测量:
X=[xf,yf]T(例如,仅一个场)
并且核函数是:
Figure BDA0003596101480000231
它将模型表示为:
Figure BDA0003596101480000232
它是所有的最多二阶的多项式。
类似地,核函数
Figure BDA0003596101480000233
表示所有的最多n阶的多项式。
类似地,高斯核函数:
Figure BDA0003596101480000234
表示具有无限数目个参数的模型,其中,σ是任意长度尺度。当然,不可能产生具有无限数目个行的设计矩阵;然而,尽管如此,仍然可能产生表示在该特定的无限维空间中的内积的核函数。
自然地,由于没有任何模型,所以不可能具有区别标识参数。然而,求解基于核函数的SVM产生(非参数的)函数,该(非参数的)函数描述在晶片的任何位置处的重叠。这不是区别标识参数与多项式基函数的线性组合,反而,重叠函数是:
Figure BDA0003596101480000235
可以基于优化问题来解决此问题。优化的输入可以是:
·核函数:k(Xj,Xi)(将描述有关核函数的选择的更多信息);以及
·测量数据点(例如,输入空间中的坐标和重叠值)
优化问题的输出可以是:
·平移项tx、ty。
·支持向量系数αp
Figure BDA0003596101480000236
·支持向量Xp
·支持向量nSPV的数目。
优化问题可以采用以下形式:
Figure BDA0003596101480000241
受限于:
Figure BDA0003596101480000242
Figure BDA0003596101480000243
并且其中,∈是噪声(带的厚度)的任意估计/猜测值,并且C是如上文已限定的正则化因子。
以与较早描述的线性实施例相同的方式,基于核函数的SVM包括使承受充分地解释所有的测量结果的约束条件的区别标识参数的复杂度指标最小化。对于基于核函数的SVM,区别标识参数的复杂度可以在概念上与线性实施例中限定的复杂度相同(例如,与保持参数值(例如,Tx和Ty除外)的向量的2-范数相同);然而,区别标识参数的该复杂度没有被明确地计算。
在解决了优化问题之后,将注意到α(*)的大部分为零。仅较少的α(*)将具有非零值。非零α(*)的数目是此问题的VC维度。因为整个模型参数可以写成较少的测量点的线性组合。在解决了优化之后,可以报告函数,或在任何(密集的)布局上对函数求值并且报告重叠值。
总而言之,下表示出SVM与基于核函数的SVM(KB SVM)之间的算法差异:
Figure BDA0003596101480000244
Figure BDA0003596101480000251
核函数的选择:
重要问题是:核函数应该是什么?并且核函数如何影响结果?核函数是基于域知识的相似度(在这种情况下是单独的测量结果之间)的度量。注意,此概念关于基于核函数的估计的框架,而不是任何特定的实施方案(或任何特定的核函数)。
所提出的概念产生可以用于不同的目的的工具;然而,每次应该优选地进行对核函数的明智的选择。
在第一示例中,核函数可以包括部分每场、部分全局场间和部分全局场内,所有核函数都是最多N阶的多项式。
首先,将给出1维(1D)示例。基础图案是xf、xw的多项式/正弦/余弦函数,其中,虽然所有的场都是不同的,但是通过正弦/余弦关系彼此相关。在随机位置(例如,圆)中取样/测量该图案,并且使用多项式核函数将该图案进送至KB-SVM。
Figure BDA0003596101480000252
其中,在测量结果i处,xi=[xw,xf]。
测量布局是非常随机的,例如可能使得一个或更多个场没有测量结果。然而,具有简单的4阶核函数的KB-SVM能够正确地拟合数据,即使针对不存在测量结果的场亦如此。感兴趣地,如果认为没有要添加任何额外的信息,则甚至可以忽略或放弃测量结果。
图7是说明这种情况的输出空间OS(感兴趣的参数的值)相对于输入空间IS(场1至6上的晶片位置)的曲线图。第一曲线(黑线)是实际的区别标识FP并且第二曲线(灰线)是使用此示例中的多项式核函数的KB-SVM估计。场4不包括测量数据M并且因此不包括支持向量SV。然而,对于包括场4的所有场,估计KB SVM非常接近实际的区别标识FP。
在2维(2D)重叠示例中应用相同的原则,可以基于仅适合于使用其他技术进行全局建模的数据集合来获得CPE(每场校正)。该技术的主要优点为:该技术尝试从可用的数据的任何(非完整)集合中找出基础图案。更具体地,假设其中一些场被密集地测量而其他场被稀疏地测量的测量布局,将需要使用KB-SVM来估计用于此布局的CPE。原则是每个场都有一点差异,并且通过现有的测量(在一定程度上)捕捉这些差异。然后,构造核函数以捕捉相似度的这种度量。核函数不需要是精确的,但是应该具有必要的分量。例如,可以使用如下核函数:
Figure BDA0003596101480000261
其中,
Figure BDA0003596101480000262
核函数的第一部分实质上表示:如果两个点处于相同的场中,则该两个点的相似度比该两个点不在相同的场中时高10倍。这意味着:部分(0.1)全局场内和部分(1)每场。第二部分表示任何场内区别标识都可以是任何5阶多项式。核函数的第三部分表示区别标识的场间部分应该是连续的(高斯核函数)。
该技术的缺点是:该技术需要专家来构造良好的核函数。尽管核函数的数目是无关紧要的,但是核函数的结构却很重要。
在另一个示例中,提出了场间高斯核函数。局部场间区别标识可以使得该局部场间区别标识可以不通过现有的区别标识模型来被捕捉,这是因为需要非常高阶的模型;该区别标识是过于局部的。另外,现有的每场模型给出了离散的、不精确的估计。为了将该区别标识建模,高斯径向核函数可以采用以下形式:
Figure BDA0003596101480000271
其中,Xi=[xw,yw]是晶片上的点的位置,并且σ是常数(大于两点之间的距离,小于区别标识的覆盖区)。
每场模型给出了不应该是离散的物理区别标识的离散估计。
基于核函数的方法需要核函数的良好限定。这可以基于专家知识、或使用数据驱动方法来得出。另一种方法可以包括多核函数估计。
总而言之,该基于核函数的实施例包括构造或选择核函数来描述用于评估被测量的区别标识的一个或更多个准则(例如,两个晶片坐标之间的接近性)。核函数限定一个或更多个类别(例如,可能根据加权的组合的多个模型类别)的模型,从该一个或更多个类别的模型中产生在考虑模型的不同粒度(例如,每单元、每管芯、每子场、每场、每晶片、每批次等)的同时用于使被测量的区别标识致密的函数。具有核函数的SVM确定用于描述被测量的区别标识的函数。
可以使用如下方面来进一步描述实施例:
1.一种将测量数据拟合至模型的方法,包括:
获得与衬底的至少一部分的性能参数相关的测量数据;以及
通过在不允许所述测量数据与被拟合的模型之间的偏差超过阈值的同时使应用于所述模型的拟合参数的复杂度指标最小化,以将所述测量数据拟合至所述模型。
2.根据方面1所述的方法,其中,所述复杂度指标是所述模型参数的1-范数或2-范数,或者是加权的模型参数的1-范数或2-范数。
3.根据方面1或2所述的方法,其中,所述复杂度指标还包括:用于调节被包括于所述测量数据内的任何异常值的一个或更多个松弛变量,所述测量数据与所述被拟合的模型之间的所述偏差被允许超过所述异常值的阈值;以及用于对所述松弛变量加权的一个或更多个系数。
4.根据方面3所述的方法,其中,所述一个或更多个系数是复杂度系数,所述一个或更多个复杂度系数能够被选择和/或优化以确定针对所述拟合的复杂度对所述异常值进行惩罚的程度。
5.根据前述任一方面所述的方法,其中,所述测量数据至少包括二维测量数据。
6.根据方面5所述的方法,其中,所述拟合步骤包括:确定描述所述性能参数的空间分布的二维特征标识。
7.根据前述任一方面所述的方法,还包括:为所述复杂度指标限定拉格朗日乘数,以及使用所述拉格朗日乘数将所述复杂度指标转换为拉格朗日函数。
8.根据方面7所述的方法,包括:将所述拉格朗日函数转换为二次规划优化。
9.根据方面7或8所述的方法,其中,所述拟合步骤包括:将模型参数确定为设计矩阵和用于所述拉格朗日乘数的优化值的线性组合。
10.根据前述任一方面所述的方法,其中,所述测量数据描述以下各项中的一项或多项:所述衬底的特性;限定待应用至所述衬底的图案的图案形成装置的特性;用于保持所述衬底的衬底台和用于保持所述图案形成装置的掩模版台中的一个或两个的位置;或将所述图案形成装置上的所述图案转移至所述衬底的图案转移系统的特性。
11.根据前述任一方面所述的方法,其中,所述测量数据包括重叠数据、临界尺寸数据、对准数据、焦距数据和调平数据中的一项或多项。
12.根据前述任一方面所述的方法,其中,所述复杂度指标涉及控制光刻过程以优化以下各项中的一项或多项的控制:在平行于衬底平面的方向上的曝光轨迹控制;在垂直于所述衬底平面的方向的曝光轨迹控制;透镜像差校正、剂量控制和用于光刻设备的激光源的激光带宽控制。
13.根据方面12所述的方法,包括:根据优化的所述控制来控制所述光刻过程。
14.根据方面12或13所述的方法,其中,所述光刻过程包括曝光衬底上的层,从而形成用于制造集成电路的制造过程的一部分。
15.如前述任一方面所述的方法,所述复杂度指标能够操作以使重叠误差、边缘放置误差、临界尺寸误差、焦距误差、对准误差和调平误差中的一项或多项最小化。
16.一种用于对性能参数分布建模的方法,包括:
获得与衬底的至少一部分的性能参数部分相关的测量数据;以及
通过模型的优化,基于所述测量数据来对所述性能参数分布建模,其中,所述优化使表示经受以下约束条件的被建模的性能参数分布的复杂度的成本函数最小化,该约束条件是:基本上所有被包括于所述测量数据内的点都处于来自被建模的性能参数分布的阈值内。
17.根据方面16所述的方法,其中,所述测量数据包括一个或更多个异常值,所述一个或更多个异常值被允许不满足所述约束条件,并且所述成本函数还包括惩罚项以惩罚不满足所述约束条件的所述异常值。
18.根据方面17所述的方法,其中,所述惩罚项包括用于调节被包括于所述测量数据内的任何异常值的一个或更多个松弛变量,所述约束条件对于所述异常值放宽。
19.根据方面18所述的方法,其中,所述惩罚项还包括复杂度系数,所述复杂度系数能够被选择和/或优化以确定针对所述拟合的复杂度对所述异常值进行惩罚的程度。
20.根据方面16至19所述的方法,还包括:为所述成本函数限定拉格朗日乘数,以及使用所述拉格朗日乘数将所述成本函数转换为拉格朗日函数。
21.根据方面20所述的方法,包括:将所述拉格朗日函数转换为二次规划优化。
22.根据方面20或21所述的方法,其中,所述建模步骤包括:将模型参数确定为设计矩阵和用于所述拉格朗日乘数的优化值的线性组合。
23.一种确定描述性能参数分布的函数的方法,包括:
获得与用于衬底上的取样位置的性能参数相关的测量数据;
确定核函数;以及
使用所述核函数执行优化过程以确定限定所述函数的支持向量和支持值。
24.根据方面23所述的方法,其中,所述核函数包括半正定矩阵。
25.根据方面23或24所述的方法,其中,确定所述核函数至少部分地基于用于评估所述测量数据的准则。
26.根据方面23至25中任一项所述的方法,还包括:基于映射函数产生特征空间。
27.根据方面26所述的方法,其中,所述核函数对应于与所述特征空间相关联的距离指标。
28.根据方面26或27所述的方法,其中,所述特征空间的维度对应于所述映射函数的分量。
29.根据方面26至28中任一项所述的方法,其中,所述映射函数将所述取样位置映射至所述特征空间。
30.根据方面27至29中任一项所述的方法,其中,所述距离指标限定所述特征空间的元素之间的距离。
31.根据方面27至30中任一项所述的方法,其中,所述距离指标根据针对所述特征空间限定的内积被导出。
32.根据方面23至31中任一项所述的方法,其中,所述至少一个准则包括所述测量数据的单独的测量结果之间的相似度的度量。
33.根据方面23至32中任一项所述的方法,包括:
产生核函数;以及
通过对在所述测量数据的一个或更多个测量位置上的所述核函数求值来确定所述核函数。
34.根据方面33所述的方法,其中,所述核函数是解析地产生的。
35.根据方面23至34中任一项所述的方法,其中,所述执行优化过程包括:使用所述核函数执行基于核函数的支持向量机回归。
36.根据方面23至35中任一项所述的方法,其中,所述基于核函数的支持向量机回归包括:通过在不允许所述测量数据与所述函数之间的偏差超过阈值的同时最小化应用于所述支持向量的系数的复杂度指标,以使用所述核函数来对所述测量数据建模。
37.根据方面35或36所述的方法,其中,所述优化过程包括求解所述基于核函数的支持向量机回归以产生所述函数。
38.根据方面23至37中任一项所述的方法,其中,所述函数包括非参数化的函数。
39.根据方面23至38中任一项所述的方法,其中,所述核函数被构造成使得该核函数对应于无限维参数模型。
40.根据方面23至39中任一项所述的方法,其中,所述核函数被构造成使得该核函数对应于一个或更多个类别的模型。
41.根据方面40所述的方法,其中,模型的所述类别描述模型的粒度的水平。
42.根据方面40或41所述的方法,其中,所述核函数被构造成使得该核函数对应于多个类别的模型。
43.根据方面23至42中任一项所述的方法,其中,所述核函数包括高斯核函数、多项式核函数和/或离散核函数。
44.一种计算机程序,包括能够操作以在运行于适合的设备上时执行根据方面1至43中任一项所述的方法的程序指令。
45.一种非暂时性计算机程序载体,包括根据方面44所述的计算机程序。
46.一种处理设备,包括存储装置,所述存储装置包括根据方面36所述的计算机程序;以及
处理器,该处理器能够操作以响应于所述计算机程序来执行根据方面1至43中任一项所述的方法。
47.一种光刻设备,该光刻设备被配置为在光刻过程中向衬底提供产品结构,包括根据方面46所述的处理设备。
48.根据方面47的光刻设备,还包括:
衬底台,该衬底台用于保持所述衬底;
图案形成装置台,该图案形成装置台用于保持图案形成装置;以及
图案转移单元,该图案转移单元用于将所述图案形成装置上的图案转移至所述衬底上。
49.根据方面48的光刻设备,包括致动器,所述致动器用于所述衬底台、图案形成装置台和图案转移单元中的至少一个,并且能够操作以便基于所述被拟合的模型来控制所述致动器。
50.一种光刻单元,包括:
根据方面47、48或49所述的光刻设备;以及
量测系统,所述量测系统能够操作以测量所述测量数据。
关于光刻设备所使用的术语“辐射”和“束”涵盖所有类型的电磁辐射,包括紫外(UV)辐射(例如,具有等于或者约等于365nm、355nm、248nm、193nm、157nm或126nm的波长)和极紫外(EUV)辐射(例如,具有在5nm至20nm的范围内的波长),以及粒子束,诸如离子束或电子束。
术语“透镜”在内容背景允许的情况下可以指各种类型的光学部件中的任一种或组合,包括折射型、反射型、磁性型、电磁型和静电型光学部件。
对具体实施例的前述描述将因此完全地揭露本发明的一般性质:在不背离本发明的一般概念的情况下,其他人员可以通过应用本领域的技术范围内的知识针对各种应用来容易地修改和/或调适这些特定实施例,而无需进行不适当的实验。因此,基于本文中呈现的教导和指导,此类调适和修改意图处于被披露的实施例的等效物的含义和范围内。应该明白的是,本文中的措辞或术语是出于以示例的方式来描述而不是限制性的目的,使得本说明书的术语或措辞待由技术人员按照教导和指导进行解释。
本发明的广度和范围不应该受上述示例性实施例中的任一个限制,而应该仅根据以下权利要求书及其等同内容来限定。

Claims (15)

1.一种将测量数据拟合至模型的方法,包括:
获得与衬底的至少一部分的性能参数相关联的测量数据;以及
通过在不允许所述测量数据与被拟合的模型之间的偏差超过阈值的同时使应用于所述模型的拟合参数的复杂性指标最小化,以将所述测量数据拟合至所述模型。
2.如权利要求1所述的方法,其中,所述复杂性指标是所述模型参数的1-范数或2-范数,或者是加权的模型参数的1-范数或2-范数。
3.如权利要求1所述的方法,其中,所述复杂性指标还包括:用于调节被包括于所述测量数据内的任何异常值的一个或更多个松弛变量以及用于对所述一个或更多个松弛变量加权的一个或更多个系数,所述测量数据与所述被拟合的模型之间的所述偏差被允许超过所述异常值的阈值。
4.如权利要求3所述的方法,其中,所述一个或更多个系数是复杂性系数,所述复杂性系数能够被选择和/或优化以确定针对所述拟合的复杂性对所述异常值进行惩罚的程度。
5.如权利要求1所述的方法,其中,所述测量数据至少包括二维测量数据。
6.如权利要求5所述的方法,其中,所述拟合的步骤包括:确定描述所述性能参数的空间分布的二维区别标识。
7.如权利要求1所述的方法,还包括:限定用于所述复杂性指标的拉格朗日乘数,使用所述拉格朗日乘数将所述复杂性指标转换为拉格朗日函数,以及将所述拉格朗日函数转换为二次规划优化。
8.如权利要求7所述的方法,其中,所述拟合的步骤包括:将模型参数确定为设计矩阵和用于所述拉格朗日乘数的优化值的线性组合。
9.如权利要求1所述的方法,其中,所述测量数据描述以下各项中的一项或多项:所述衬底的特性;限定待应用至所述衬底的图案的图案形成装置的特性;用于保持所述衬底的衬底台和用于保持所述图案形成装置的掩模版台中的一个或两个的位置;或将所述图案形成装置上的图案转移至所述衬底的图案转移系统的特性。
10.如权利要求1所述的方法,其中,所述测量数据包括重叠数据、临界尺寸数据、对准数据、焦距数据和调平数据中的一项或多项。
11.如权利要求1所述的方法,其中,所述复杂性指标涉及控制光刻过程以优化以下各项中的一项或多项的控制:在平行于衬底平面的方向上的曝光轨迹控制、在垂直于所述衬底平面的方向上的曝光轨迹控制、透镜像差校正、剂量控制和用于光刻设备的激光源的激光带宽控制。
12.如权利要求11所述的方法,包括:根据优化的所述控制来控制所述光刻过程。
13.如权利要求11所述的方法,其中,所述光刻过程包括对衬底上的层的曝光,所述曝光形成用于制造集成电路的制造过程的一部分。
14.如权利要求1所述的方法,所述复杂性指标能够被操作以使重叠误差、边缘放置误差、临界尺寸误差、焦距误差、对准误差和调平误差中的一项或多项最小化。
15.一种非暂时性计算机程序载体,包括计算机程序,所述计算机程序包括能够操作以当在适合的设备上运行时执行如权利要求1所述的方法的程序指令。
CN202080072295.7A 2019-10-17 2020-10-05 将测量数据拟合至模型和对性能参数分布建模的方法以及相关联的设备 Pending CN114585970A (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
EP19203752.1A EP3809203A1 (en) 2019-10-17 2019-10-17 Methods of fitting measurement data to a model and modeling a performance parameter distribution and associated apparatuses
EP19203752.1 2019-10-17
EP20193618.4 2020-08-31
EP20193618 2020-08-31
PCT/EP2020/077807 WO2021073921A1 (en) 2019-10-17 2020-10-05 Methods of fitting measurement data to a model and modeling a performance parameter distribution and associated apparatuses

Publications (1)

Publication Number Publication Date
CN114585970A true CN114585970A (zh) 2022-06-03

Family

ID=72752910

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202080072295.7A Pending CN114585970A (zh) 2019-10-17 2020-10-05 将测量数据拟合至模型和对性能参数分布建模的方法以及相关联的设备

Country Status (6)

Country Link
US (1) US20240118629A1 (zh)
EP (1) EP4045976A1 (zh)
KR (1) KR20220058639A (zh)
CN (1) CN114585970A (zh)
TW (1) TWI810491B (zh)
WO (1) WO2021073921A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN115618748A (zh) * 2022-11-29 2023-01-17 支付宝(杭州)信息技术有限公司 一种模型优化的方法、装置、设备及存储介质

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2023036593A1 (en) * 2021-09-09 2023-03-16 Asml Netherlands B.V. Method for converting metrology data

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060238761A1 (en) * 2005-04-21 2006-10-26 Shun-Li Lin Method for analyzing overlay errors
US20190258178A1 (en) * 2016-11-10 2019-08-22 Asml Netherlands B.V. Method for determining an optimized set of measurement locations for measurement of a parameter of a lithographic process, metrology system and computer program products for implementing such methods

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
NL1036245A1 (nl) 2007-12-17 2009-06-18 Asml Netherlands Bv Diffraction based overlay metrology tool and method of diffraction based overlay metrology.
NL1036597A1 (nl) 2008-02-29 2009-09-01 Asml Netherlands Bv Metrology method and apparatus, lithographic apparatus, and device manufacturing method.
NL1036857A1 (nl) 2008-04-21 2009-10-22 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
NL2004094A (en) 2009-02-11 2010-08-12 Asml Netherlands Bv Inspection apparatus, lithographic apparatus, lithographic processing cell and inspection method.
KR101429629B1 (ko) 2009-07-31 2014-08-12 에이에스엠엘 네델란즈 비.브이. 계측 방법 및 장치, 리소그래피 시스템, 및 리소그래피 처리 셀
JP2013502592A (ja) 2009-08-24 2013-01-24 エーエスエムエル ネザーランズ ビー.ブイ. メトロロジ方法および装置、リソグラフィ装置、リソグラフィプロセシングセル、およびメトロロジターゲットを備える基板
WO2012022584A1 (en) 2010-08-18 2012-02-23 Asml Netherlands B.V. Substrate for use in metrology, metrology method and device manufacturing method
CN103201682B (zh) 2010-11-12 2015-06-17 Asml荷兰有限公司 量测方法和设备、光刻系统和器件制造方法
NL2010401A (en) 2012-03-27 2013-09-30 Asml Netherlands Bv Metrology method and apparatus, lithographic system and device manufacturing method.
NL2010458A (en) 2012-04-16 2013-10-17 Asml Netherlands Bv Lithographic apparatus, substrate and device manufacturing method background.
WO2013178422A1 (en) 2012-05-29 2013-12-05 Asml Netherlands B.V. Metrology method and apparatus, substrate, lithographic system and device manufacturing method
KR102405686B1 (ko) * 2017-09-08 2022-06-07 에이에스엠엘 네델란즈 비.브이. 기계 학습 보조 광 근접 오차 보정을 위한 트레이닝 방법들

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060238761A1 (en) * 2005-04-21 2006-10-26 Shun-Li Lin Method for analyzing overlay errors
US20190258178A1 (en) * 2016-11-10 2019-08-22 Asml Netherlands B.V. Method for determining an optimized set of measurement locations for measurement of a parameter of a lithographic process, metrology system and computer program products for implementing such methods

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
CHEN-CHIA CHUANG等: "Robust least squares-support vector machines for regression with outliers", 《IEEEINTERNATIONAL CONFERENCE ON FUZZY SYSTEMS》, 1 June 2008 (2008-06-01), pages 312 - 317, XP031324782 *

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN115618748A (zh) * 2022-11-29 2023-01-17 支付宝(杭州)信息技术有限公司 一种模型优化的方法、装置、设备及存储介质
CN115618748B (zh) * 2022-11-29 2023-05-02 支付宝(杭州)信息技术有限公司 一种模型优化的方法、装置、设备及存储介质

Also Published As

Publication number Publication date
TWI810491B (zh) 2023-08-01
KR20220058639A (ko) 2022-05-09
US20240118629A1 (en) 2024-04-11
WO2021073921A1 (en) 2021-04-22
TW202129427A (zh) 2021-08-01
EP4045976A1 (en) 2022-08-24

Similar Documents

Publication Publication Date Title
CN110088687B (zh) 用于图像分析的方法和设备
CN110168446B (zh) 光刻过程和设备以及检测过程和设备
US20210405544A1 (en) Method for obtaining training data for training a model of a semiconductor manufacturing process
CN111512235A (zh) 基于计算量测的校正和控制
TW202024777A (zh) 量測方法與裝置
KR102217214B1 (ko) 성능 파라미터의 핑거프린트를 결정하는 장치 및 방법
TWI810491B (zh) 將量測資料擬合到模型中及模型化性能參數分佈的方法及相關裝置
US11796920B2 (en) Method for controlling a manufacturing process and associated apparatuses
EP3869271A1 (en) Method for controlling a manufacturing process and associated apparatuses
US20220244649A1 (en) Sub-field control of a lithographic process and associated apparatus
CN111480119B (zh) 用于控制制造设备的方法以及相关联的设备
EP3809203A1 (en) Methods of fitting measurement data to a model and modeling a performance parameter distribution and associated apparatuses
US20240061353A1 (en) Method for determining a focus actuation profile for one or more actuators of a lithographic exposure apparatus
EP4254068A1 (en) Method for determining a spatial distribution of a parameter of interest over at least one substrate or portion thereof
TWI811952B (zh) 度量衡方法及設備
TWI824461B (zh) 將基板區域之量測資料模型化的方法及其相關設備
US11435673B2 (en) Method of determining a set of metrology points on a substrate, associated apparatus and computer program
EP4071553A1 (en) Method of determining at least a target layout and associated metrology apparatus
EP3945367A1 (en) Method for controlling a manufacturing process and associated apparatuses
NL2024950A (en) Method for controlling a manufacturing process and associated apparatuses

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination