TWI810491B - 將量測資料擬合到模型中及模型化性能參數分佈的方法及相關裝置 - Google Patents

將量測資料擬合到模型中及模型化性能參數分佈的方法及相關裝置 Download PDF

Info

Publication number
TWI810491B
TWI810491B TW109135637A TW109135637A TWI810491B TW I810491 B TWI810491 B TW I810491B TW 109135637 A TW109135637 A TW 109135637A TW 109135637 A TW109135637 A TW 109135637A TW I810491 B TWI810491 B TW I810491B
Authority
TW
Taiwan
Prior art keywords
model
substrate
measurement data
data
complexity
Prior art date
Application number
TW109135637A
Other languages
English (en)
Other versions
TW202129427A (zh
Inventor
詹巴漢 阿里雅加 喀梵尼
法蘭斯 雷尼爾 斯菲林
裘簡 賽巴斯汀 威爾登伯格
艾佛哈德斯 柯奈利斯 莫斯
Original Assignee
荷蘭商Asml荷蘭公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from EP19203752.1A external-priority patent/EP3809203A1/en
Application filed by 荷蘭商Asml荷蘭公司 filed Critical 荷蘭商Asml荷蘭公司
Publication of TW202129427A publication Critical patent/TW202129427A/zh
Application granted granted Critical
Publication of TWI810491B publication Critical patent/TWI810491B/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/706835Metrology information management or control
    • G03F7/706839Modelling, e.g. modelling scattering or solving inverse problems
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70516Calibration of components of the microlithographic apparatus, e.g. light sources, addressable masks or detectors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70508Data handling in all parts of the microlithographic apparatus, e.g. handling pattern data for addressable masks or data transfer to or from different components within the exposure apparatus
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70525Controlling normal operating mode, e.g. matching different apparatus, remote control or prediction of failure
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/706835Metrology information management or control
    • G03F7/706837Data analysis, e.g. filtering, weighting, flyer removal, fingerprints or root cause analysis
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/20Design optimisation, verification or simulation
    • G06F30/27Design optimisation, verification or simulation using machine learning, e.g. artificial intelligence, neural networks, support vector machines [SVM] or training a model
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N20/00Machine learning
    • G06N20/10Machine learning using kernel methods, e.g. support vector machines [SVM]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70641Focus

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Software Systems (AREA)
  • Evolutionary Computation (AREA)
  • Data Mining & Analysis (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • General Engineering & Computer Science (AREA)
  • Medical Informatics (AREA)
  • Artificial Intelligence (AREA)
  • Computer Hardware Design (AREA)
  • Geometry (AREA)
  • Computing Systems (AREA)
  • Mathematical Physics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Length Measuring Devices With Unspecified Measuring Means (AREA)

Abstract

本發明揭示一種將量測資料擬合至一模型中之方法。該方法包含獲得與一基板之至少一部分之一效能參數相關的量測資料;及藉由最小化應用於該模型之擬合參數之一複雜性度量來將該量測資料擬合至該模型,同時不允許該量測資料與該擬合模型之間的偏差超出一臨限值。

Description

將量測資料擬合到模型中及模型化性能參數分佈的方法及相關裝置
本發明係關於用於在微影程序中將圖案施加至基板之方法及裝置。
微影裝置為將所要圖案施加至基板上(通常施加至基板之目標部分上)之機器。微影裝置可用於例如積體電路(IC)之製造中。在彼情況下,圖案化器件(其替代地被稱作遮罩或倍縮光罩)可用以產生待形成於IC之個別層上的電路圖案。此圖案可轉印至基板(例如,矽晶圓)上之目標部分(例如,包含晶粒之部分、一個晶粒或若干晶粒)上。通常經由成像至提供在基板上之輻射敏感材料(抗蝕劑)層上來進行圖案之轉印。一般而言,單個基板將含有連續地經圖案化之鄰近目標部分之網路。已知微影裝置包括:所謂的步進器,其中藉由一次性將整個圖案曝光至目標部分上來輻照每一目標部分;及所謂的掃描器,其中藉由在給定方向(「掃描」方向)上經由輻射光束掃描圖案同時平行或反平行於此方向同步地掃描基板來輻照每一目標部分。亦有可能藉由將圖案壓印至基板上來將圖案自圖案化器件轉印至基板。
為了監視微影程序,量測經圖案化基板之參數。參數可包括例如形成於經圖案化基板中或上之順次層之間的疊對誤差及經顯影感光性抗蝕劑之臨界線寬(CD)。可對產品基板及/或對專用度量衡目標執行此量測。存在用於對在微影程序中形成之顯微結構進行量測之各種技術,包括使用掃描電子顯微鏡及各種特殊化工具。快速且非侵襲性形式之特殊化檢測工具為散射計,其中將輻射光束導向至基板之表面上的目標上,且量測經散射或經反射光束之屬性。兩種主要類型之散射計為已知的。光譜散射計將寬頻帶輻射光束導向至基板上且量測散射至特定窄角程中之輻射之光譜(隨波長而變化之強度)。角解析散射計使用單色輻射光束且量測隨角度而變化之散射輻射之強度。
已知散射計之實例包括US2006033921A1及US2010201963A1中所描述之類型的角解析散射計。由此類散射計使用之目標相對較大(例如,40μm乘40μm)光柵,且量測光束產生小於光柵之光點(亦即,光柵填充不足)。除了藉由重建構進行特徵形狀之量測以外,亦可使用此類裝置來量測基於繞射之疊對,如公開專利申請案US2006066855A1中所描述。使用繞射階之暗場成像的基於繞射之疊對度量衡實現對較小目標之疊對量測。可在國際專利申請案WO 2009/078708及WO 2009/106279中找到暗場成像度量衡之實例,該等文件特此以全文引用之方式併入。已公開之專利公開案US20110027704A、US20110043791A、US2011102753A1、US20120044470A、US20120123581A、US20130258310A、US20130271740A及WO2013178422A1中已描述該技術之進一步發展。此等目標可小於照明光點且可由晶圓上之產品結構圍繞。可使用複合光柵目標在一個影像中量 測多個光柵。所有此等申請案之內容亦以引用之方式併入本文中。
在執行諸如將圖案施加於基板上或量測此圖案之微影程序時,使用程序控制方法以監視及控制該程序。通常執行此類程序控制技術以獲得對微影程序之控制之校正。將需要改良此類程序控制方法。
在本發明之第一態樣中,提供一種將量測資料擬合至模型中之方法,其包含:獲得與基板之至少一部分之效能參數相關的量測資料;及藉由最小化應用於模型之擬合參數之複雜性度量來將量測資料擬合至模型,同時不允許量測資料與擬合模型之間的偏差超出臨限值。
在本發明之第二態樣中,提供一種模型化效能參數分佈之方法,其包含:獲得與基板之至少一部分之效能參數相關的量測資料;及藉由模型之最佳化,基於量測資料來模型化效能參數分佈,其中該最佳化最小化表示經受以下約束之模型化效能參數分佈之複雜性之成本函數:實質上所有包含於量測資料內之點在來自模型化效能參數分佈之臨限值內。
在本發明之其他態樣中,提供一種電腦程式,其包含可操作以在適合的裝置上運行時執行第一態樣之方法之程式指令;一種處理器件,其包含處理器及具有此類電腦程式之儲存器及具有此類處理器件之微影裝置。
下文參考隨附圖式詳細地描述本發明之其他態樣、特徵及優勢,以及本發明之各種實施例之結構及操作。應注意,本發明不限於本文中所描述之具體實施例。本文中僅出於說明性目的呈現此類實施例。基於本文中所含之教示,額外實施例對於熟習相關技術者將顯而易見。
200:微影裝置
202:量測站
204:曝光站
206:控制單元
208:塗佈裝置
210:烘烤裝置/資料
212:顯影裝置
220:基板/資料
222:裝置
224:裝置
226:裝置
230:基板/資料
232:基板
234:基板
240:度量衡裝置/資料
242:度量衡結果
250:處理參數
305:產品資訊
310:度量衡資料
315:離線處理器件
320:最佳化演算法
325:設定點校正/偏移
335:掃描器
340:控制演算法
345:控制設定點
350:度量衡資料
dx:疊對分量
dy:疊對分量
EXP:曝光站
FP:實際指紋特徵
FS:特徵空間
HP:虛線
IS:輸入空間
KB SVM:基於核函數之SVM
LACU:控制單元
LA:微影裝置
LS:最小平方擬合
LSQ:最小平方擬合
M:量測資料
MA:倍縮光罩
MEA:量測站
Mod:模型化步驟
OS:輸出空間
OVdx:疊對值
OVdy:疊對值
PoI:所關注參數
R:配方資訊
SCS:監督控制系統
SV:支援向量
SVM:支援向量機
W:基板
Figure 109135637-A0305-02-0043-67
:臨限值
現將參考隨附圖式藉助於實例來描述本發明之實施例,在該等圖式中:圖1描繪微影裝置連同形成用於半導體器件之生產設施的其他裝置;圖2展示處理參數之例示性來源;圖3示意性地說明判定校正以用於控制微影裝置之當前方法;圖4為在概念上說明支援向量機廻歸最佳化之疊對曲線圖;圖5(a)及圖5(b)分別為在x方向及y方向上相對於疊對誤差之百分比良率之累積良率曲線圖;圖6為描述輸入空間與特徵空間之間的映射及自特徵空間至輸出空間之擬合之「模型假定」的概念性示意圖;及圖7為根據本發明之實施例獲得之針對實際指紋特徵及KB SVM估計之輸出空間OS(所關注參數之值)相對於輸入空間IS(晶圓位置)的曲線圖。
在詳細地描述本發明之實施例之前,呈現可供實施本發明之實施例之實例環境係具指導性的。
圖1在200處將微影裝置LA展示為實施大體積微影製造程序之工業生產設施之部分。在本實例中,製造程序經調適用於在諸如半導體晶圓之基板上製造半導體產品(積體電路)。熟習此項技術者應瞭解,可藉由以此程序之變化形式處理不同類型之基板來製造各種產品。半導體產品之生產僅用作現今具有巨大商業意義之實例。
在微影裝置(或簡稱為「微影工具(litho tool)」200)內,在202處展示量測站MEA且在204處展示曝光站EXP。在206處展示控制單元 LACU。在此實例中,每一基板訪問量測站及曝光站以施加有圖案。在光學微影裝置中,例如,圖案轉印單元或投影系統用以使用經調節輻射及投影系統將產品圖案自圖案化器件MA轉印至基板上。此藉由在輻射敏感抗蝕劑材料層中形成圖案影像來實現。
本文中所使用之術語「投影系統」應廣泛地解譯為涵蓋適於所使用之曝光輻射或適於諸如浸潤液體之使用或真空之使用的其他因素之任何類型之投影系統,包括折射、反射、反射折射、磁性、電磁及靜電光學系統,或其任何組合。圖案化MA器件可為將圖案賦予至藉由圖案化器件傳輸或反射之輻射光束的遮罩或倍縮光罩。熟知操作模式包括步進模式及掃描模式。眾所周知,投影系統可以多種方式與用於基板及圖案化器件之支撐件及定位系統合作,以將所要圖案施加至橫越基板之許多目標部分。可使用可程式化圖案化器件來替代具有固定圖案之倍縮光罩。舉例而言,輻射可包括在深紫外線(DUV)波帶或極紫外線(EUV)波帶中之電磁輻射。本發明亦適用於其他類型的微影程序,例如壓印微影及例如藉由電子射束進行之直寫微影。
微影裝置控制單元LACU控制各種致動器及感測器之所有移動及量測以接收基板W及倍縮光罩MA且實施圖案化操作。LACU亦包括用以實施與裝置之操作相關的所要計算之信號處理及資料處理能力。實務上,控制單元LACU將實現為許多子單元之系統,該等子單元各自處置該裝置內之子系統或組件的即時資料獲取、處理及控制。
在曝光站EXP處將圖案施加至基板之前,在量測站MEA處理基板以使得可執行各種預備步驟。預備步驟可包括使用位準感測器來映射基板之表面高度,及使用對準感測器來量測基板上之對準標記的位置。 對準標記係以規則柵格圖案標稱地配置。然而,歸因於在產生標記時之不準確度且亦歸因於基板貫穿其處理而發生之變形,標記偏離理想柵格。因此,在裝置將以極高準確度在正確位置處印刷產品特徵的情況下,除了量測基板之位置及定向以外,對準感測器實務上亦必須詳細地量測橫越基板區域之許多標記之位置。裝置可為具有兩個基板台之所謂的雙載物台類型,該等基板台各自具有由控制單元LACU控制之定位系統。在曝光站EXP處曝光一個基板台上之一個基板的同時,可在量測站MEA處將另一基板裝載至另一基板台上,以使得可執行各種預備步驟。因此,對準標記之量測極耗時,且兩個基板台的設置能夠使得裝置之產出量顯著增加。若位置感測器IF不能夠在基板台處於量測站處及處於曝光站處時量測基板台之位置,則可提供第二位置感測器以使得能夠在兩個站處追蹤基板台之位置。微影裝置LA可例如屬於所謂的雙載物台類型,其具有兩個基板台及兩個站--曝光站及量測站--在該等站之間可交換該等基板台。
在生產設施內,裝置200形成「微影單元(litho cell)」或「微影叢集(litho cluster)」之部分,該「微影單元」或「微影叢集」亦含有用於將感光抗蝕劑及其他塗層塗覆至基板W以藉由裝置200進行圖案化之塗佈裝置208。在裝置200之輸出側處,提供烘烤裝置210及顯影裝置212以用於將經曝光圖案顯影成實體抗蝕劑圖案。在所有此等裝置之間,基板處置系統負責支撐基板且將基板自一台裝置轉移至下一台裝置。通常被統稱為塗佈顯影系統(track)之此等裝置係在塗佈顯影系統控制單元之控制下,該塗佈顯影系統控制單元自身受監督控制系統SCS控制,該監督控制系統SCS亦經由微影裝置控制單元LACU而控制微影裝置。因此,不同裝置可經操作以最大化產出量及處理效率。監督控制系統SCS接收配方資 訊R,該配方資訊R非常詳細地提供待執行以產生每一經圖案化基板之步驟的定義。
一旦已在微影單元中施加且顯影圖案,則將經圖案化基板220轉印至諸如在222、224、226處說明之其他處理裝置。各種處理步驟藉由典型製造設施中之各種裝置來實施。出於實例起見,此實施例中之裝置222為蝕刻站,且裝置224執行蝕刻後退火步驟。在其他裝置226等中應用其他物理及/或化學處理步驟。可需要眾多類型之操作以製作真實器件,諸如,材料之沈積、表面材料特性之改質(氧化、摻雜、離子植入等)、化學機械研磨(CMP)等等。實務上,裝置226可表示在一或多個裝置中執行之一系列不同處理步驟。作為另一實例,可提供用於實施自對準多重圖案化之裝置及處理步驟,以基於藉由微影裝置鋪設之前驅圖案而產生多個較小特徵。
眾所周知,半導體器件之製造涉及此類處理之許多重複,以在基板上逐層地用適當材料及圖案構建器件結構。因此,到達微影叢集之基板230可為新近製備之基板,或其可為先前已在此叢集中或在另一裝置中完全地經處理之基板。類似地,取決於所需處理,脫離裝置226上之基板232可返回以用於同一微影叢集中之後續圖案化操作,其可經指定以用於不同叢集中之圖案化操作,或其可為待發送以用於切割及封裝之成品。
產品結構之每一層需要一組不同製程步驟,且在每一層處使用之裝置226可在類型方面完全不同。另外,即使在待由裝置226施加之處理步驟在大型設施中標稱地相同的情況下,亦可存在並行地工作以對不同基板執行步驟226之若干假定相同的機器。此等機器之間的較小設定 或故障差異可意謂其以不同方式影響不同基板。即使為每一層相對所共有之步驟,諸如蝕刻(裝置222)亦可藉由標稱地相同但並行地工作以最大化產出量之若干蝕刻裝置來實施。此外,實務上,根據待蝕刻之材料的細節及諸如各向異性蝕刻之特殊要求,不同層需要不同蝕刻程序,例如化學蝕刻、電漿蝕刻。
可在如剛提及之其他微影裝置中執行先前及/或後續程序,且可甚至在不同類型之微影裝置中執行先前及/或後續程序。舉例而言,器件製造程序中在諸如解析度及疊對之參數方面要求極高的一些層相較於要求不高之其他層可在更先進微影工具中予以執行。因此,一些層可曝光於浸潤型微影工具中,而其他層曝光於『乾式』工具中。一些層可曝光於在DUV波長下工作之工具中,而其他層係使用EUV波長輻射來曝光。
為了正確地且一致地曝光由微影裝置曝光之基板,需要檢測經曝光基板以量測諸如後續層之間的疊對誤差、線厚度、臨界尺寸(CD)等屬性。因此,經定位有微影單元LC之製造設施亦包括接收已在微影製造單元中經處理之基板W中之一些或全部的度量衡系統。將度量衡結果直接地或間接地提供至監督控制系統SCS。若偵測到誤差,則可對後續基板之曝光進行調整,尤其在可足夠迅速地且快速地進行度量衡使得同一批次之其他基板仍待曝光的情況下。此外,已曝光之基板可被剝離及重工以提高良率,或被捨棄,由此避免對已知有缺陷之基板執行進一步處理。在基板之僅一些目標部分有缺陷的情況下,可僅對良好的彼等目標部分執行進一步曝光。
圖1中亦展示度量衡裝置240,該度量衡裝置240經提供以用於在製造程序中之所要載物台處進行產品之參數的量測。現代微影生產 設施中之度量衡站之常見實例為散射計(例如暗場散射計、角解析散射計或光譜散射計),且其可用於在裝置222中蝕刻之前量測在220處之經顯影基板之屬性。在使用度量衡裝置240之情況下,可判定例如諸如疊對或臨界尺寸(CD)之重要效能參數並不滿足經顯影抗蝕劑中之指定準確度要求。在蝕刻步驟之前,存在經由微影叢集剝離經顯影抗蝕劑且重新處理基板220的機會。藉由監督控制系統SCS及/或控制單元LACU 206隨著時間推移進行小幅度調整,可使用來自裝置240之度量衡結果242在微影叢集中維持圖案化操作之準確效能,由此使製得不合格產品且需要重工之風險最小化。
另外,可應用度量衡裝置240及/或其他度量衡裝置(未展示)以量測經處理基板232、234及傳入基板230之屬性。可在經處理基板上使用度量衡裝置來判定諸如疊對或CD之重要參數。
各種技術可用以改良圖案再現至基板上之準確度。圖案至基板上之準確再現並非IC生產中的唯一關注點。另一關注點為良率,其通常數測器件製造商或器件製造程序每基板可生產多少功能器件。各種方法可用於提高良率。一種此類方法嘗試使器件之生產(例如,使用諸如掃描器之微影裝置將設計佈局之一部分成像至基板上)在處理基板期間(例如,在使用微影裝置將設計佈局之一部分成像至基板上期間)對至少一種處理參數的擾動更具容限性。重疊製程窗(OPW)之概念為此方法之有用工具。器件(例如,IC)之生產可包括其他步驟,諸如在成像之前、之後或期間的基板量測;裝載或卸載基板;裝載或卸載圖案化器件;在曝光之前將晶粒定位於投影光學器件之下方;自一個晶粒步進至另一晶粒等。另外,圖案化器件上之各種圖案可具有不同製程窗(亦即,將在規格內產生圖案所依 據之處理參數之空間)。與潛在系統性缺陷相關之圖案規格之實例包括檢查頸縮、線拉回、線薄化、CD、邊緣置放、重疊、抗蝕劑頂部損耗、抗蝕劑底切及/或橋接。圖案化器件上之圖案之所有或一些(通常為特定區域內之圖案)的製程窗可藉由合併(例如重疊)每一個別圖案之製程窗而獲得。此等圖案之製程窗由此被稱為重疊製程窗。OPW之邊界可含有個別圖案中之一些之製程窗的邊界。換言之,此等個別圖案限制OPW。此等個別圖案可稱作「熱點」或「製程窗限制圖案(PWLP)」,其在本文中可互換地使用。當控制微影程序時,聚焦於熱點上為可能的,且通常為低成本的。當熱點無缺陷時,很可能所有圖案均無缺陷。當處理參數值在OPW之外的情況下處理參數值更接近於OPW時,或當處理參數值在OPW之內的情況下處理參數值更遠離OPW之邊界時,成像變得對擾動更具容限性。
圖2展示處理參數250之例示性來源。一個來源可為處理裝置之資料210,諸如微影裝置之來源、投影光學器件、基板載物台等之參數、塗佈顯影系統之參數等。另一來源可為來自各種基板度量衡工具之資料220,諸如基板高度映圖、焦點映圖、臨界尺寸均勻性(CDU)映圖等。可在可應用基板經歷防止基板之重工的步驟(例如,顯影)之前獲得資料220。另一來源可為來自一或多個圖案化器件度量衡工具之資料230、圖案化器件CDU映圖、圖案化器件(例如遮罩)膜堆疊參數變化等。又一來源可為來自處理裝置之操作者的資料240。
微影程序之控制通常係基於回饋或前饋之量測值,且接著使用例如場間(交叉基板指紋特徵)或場內(交叉場指紋特徵)模型而模型化。在晶粒內,可存在諸如記憶體區域、邏輯區域、接觸區域等之單獨功 能區域。每一不同功能區域或不同功能區域類型可具有不同製程窗,每一製程窗具有不同製程窗中心。舉例而言,不同功能區域類型可具有不同高度,且因此具有不同最佳焦點設定。此外,不同功能區域類型可具有不同結構複雜度且因此具有圍繞每一最佳焦點之不同焦點容限(焦點製程窗)。然而,歸因於控制柵格解析度限制,此等不同功能區域中之每一者將通常使用相同焦點(或劑量或位置等)設定而形成。
通常基於(例如)先前形成結構之量測值得,使用對一或多個特定控制自由度之一或多個設定點校正之離線計算而執行微影控制。設定點校正可包含對特定程序參數之校正,且可包含對特定自由度之設定之校正以補償任何漂移或誤差,以使得經量測程序參數保持在規格內(例如,在自最佳設定點或最佳值之允許變化,例如,OPW或製程窗內)。例如,重要程序參數為焦點,且聚焦誤差自身可顯現於形成於基板上之有缺陷的結構中。在典型的焦點控制環路中,可使用焦點回饋方法。此方法可包含度量衡步驟,其可例如藉由使用基於繞射之焦點(DBF)技術量測所形成結構上使用之焦點設定,其中形成具有焦點相依不對稱性之目標,使得隨後可藉由目標上之不對稱性之量測判定焦點設定。經量測焦點設定可接著用於離線判定對該微影程序之校正;例如校正焦點偏移(散焦)的對倍縮光罩載物台或基板載物台中之一者或兩者之位置校正。可接著將此離線位置校正作為設定點最佳焦點校正傳送至掃描器,以用於藉由掃描器進行直接致動。可遍及數個批次藉由將平均(遍及該等批次)之最佳焦點校正施加至一或多個隨後批次中之每一基板而獲得量測值。在其他二維(基板平面)中使用類似控制環路以控制且最小化疊對誤差。
圖3說明此方法。其展示饋送至執行最佳化演算法320之離 線處理器件315的諸如產品佈局、照明模式、產品微觀構形等之產品資訊305及度量衡資料310(例如,自先前產生之基板量測之散焦資料或疊對資料)。最佳化演算法320之輸出為例如致動器之一或多個設定點校正/偏移325,該等致動器用於控制掃描器335內之倍縮光罩載物台及/或基板載物台定位(在任何方向上,亦即在x,y及/或z方向上,其中x及y為基板平面方向且z垂直於x及y);設定點校正325經計算以補償包含於度量衡資料310內之任何偏移/誤差(例如,散焦、劑量或疊對偏移/誤差)。控制演算法340(例如,調平演算法)使用基板特定度量衡資料350來計算控制設定點345。舉例而言,可使用調平資料(例如,晶圓高度映圖)計算調平曝光軌跡(例如,判定用於在微影程序期間相對於倍縮光罩載物台定位基板載物台之相對移動或加速度輪廓)且輸出掃描器致動器之位置設定點345。同樣對於每一基板,掃描器335將設定點校正325直接應用於計算出的設定點345。在其他控制配置中,可在掃描器內執行最佳化以基於每一晶圓提供最佳化校正(晶圓間控制)。
最佳化演算法(例如,在離線處理器件及/或掃描器內執行時)可基於數個不同的優值函數,每一控制機制有一個優值函數。因此,在上述實例中,調平(或聚焦)優值函數用於聚焦控制(掃描器z方向控制),其不同於疊對(掃描器x/y方向控制)優值函數、透鏡像差校正優值函數等。在其他實施例中,可針對此等控制機制中之一或多者共同最佳化控制。
不管經最佳化之控制機制及控制態樣如何,現有最佳化方法通常依賴於執行基於最小平方(例如,均方根(root-mean-square;RMS))廻歸之最佳化或類似的此類廻歸。此類方法導致所有量測具有同等 重要性,儘管某些量測比其他量測遭受更多雜訊及不可校正的誤差。更重要地,現有方法可嘗試校正具有較小疊對誤差之晶粒,且因此無論如何將屈服,潛在地以使另外略微屈服晶粒不合規格為代價。當所有量測具有相同權重時,估計器嘗試在所有量測之間找到折衷方案以減小各處之誤差。此意謂即使易於屈服的點被壓下,此可將使其他晶粒不合規格。此類方法對雜訊資料敏感且缺乏量測點。此外,此類方法可估計指紋特徵之過高值,其稍後在最佳化中可能浪費致動器位能(致動範圍)而無額外益處。應注意,估計的指紋特徵參數越大,在最佳化中達到致動器能力之極限之風險越高。
此類RMS型廻歸方法具有過度擬合或擬合不足的傾向,且對擬合之位準沒有直接控制。在過度擬合之情況下,計算出的指紋特徵超出實際值,此可為非常有問題的。標準化模型不確定性(nMU)連同投影比可用以藉由減小模型之複雜性來預測及防止過度擬合;然而此等方法限制模型之選擇。舉例而言,眾所周知,3階模型不能擬合至僅兩個資料點等。然而,此可藉由向擬合問題添加其他約束或成本函數來實現。此實務在機器學習中稱為正則化,可幫助擬合在概率意義上具有較低樣本外誤差之模型。
為解決此等問題,提出在最佳化之估計部分中使用支援向量機(SVM)廻歸技術之經修改版本而非最小平方擬合。相較於現有最小平方法,此最佳化技術將使用不同成本函數及不同約束集。
因此,本文揭示一種控制經組態以在微影程序中將產品結構提供至基板之微影裝置的方法,該方法包含:獲得與基板有關的度量衡資料;及基於該度量衡資料最佳化微影裝置之控制優值函數,該最佳化包 含對該控制優值函數執行支援向量機廻歸。
此方法之目標包含判定指紋特徵以使得:
●指紋特徵對於雜訊資料係穩健的。
●指紋特徵可輕鬆地處理較少或稀疏的度量衡資料。此可減小度量衡負載且提高產出量。
●指紋特徵要儘可能小(但並非愈小愈好)以使得不浪費致動器範圍。此可騰出預算以用於其他校正。
●可能無過度擬合:為保持樣本外誤差儘可能接近樣本內誤差,機器學習技術(包括SVM)試圖建立對取樣有最小可能方差之模型。此經由邊際最大化及正則化實現。此技術在統計上將在非量測位置處具有較小誤差。相比之下,最小平方法僅最小化樣本內誤差(量測點)之誤差。
●估計的指紋特徵模型足夠較佳地描述經量測資料。
SVM廻歸方法藉由基本上在疊對值較小(例如,在臨限值
Figure 109135637-A0305-02-0016-47
之內)情況中犧牲/妥協、及使用該自由度來校正具有較大誤差之晶粒(例如,其否則將幾乎為屈服晶粒)起作用。更具體言之,SVM廻歸方法嘗試找到與用於所有訓練資料之已知值(例如,訓練資料)具有至多
Figure 109135637-A0305-02-0016-48
偏差的函數f(x),且同時儘可能平坦(非複雜)。換言之,接受且忽略誤差,限制條件為誤差小於
Figure 109135637-A0305-02-0016-51
。基本SVM廻歸中不容許大於此之偏差,然而,在實務環境中,所得最佳化問題將通常為不可行的。為解決此問題,鬆弛變數ξ i ξ i *可用以調節離群值。
圖4在概念上說明SVM廻歸。圖4為圖上每一點表示一疊對誤差值之疊對曲線圖(例如,疊對分量(例如,dx或dy)相對於晶圓位置座標之曲線圖)。應注意,此僅為便於表示之2D曲線圖,在實際疊對模型化 中,dx及dy疊對分量兩者均將作為x及y之函數進行模型化。參數
Figure 109135637-A0305-02-0017-52
定義可接受邊際或疊對誤差,且可由使用者選擇。在虛線HP內之白點(其指代由邊際
Figure 109135637-A0305-02-0017-53
定義之超平面之範圍),亦即,彼等幅度小於
Figure 109135637-A0305-02-0017-54
之點不會增加成本。換言之,當執行SVM廻歸時基本上忽略此等值;此等值視為表示足夠良好之疊對且因此不需要任何校正。灰色點為最接近超平面之點;此等點被稱為支援向量點。支援向量點為判定SVM廻歸(實線)之基底函數。黑色點為離群值或誤差支援向量。鬆弛變數用於解決此等點,以便最小化其與虛線之距離(例如,第一範數)。以此方式,由SVM廻歸產生之模型SVM僅取決於訓練資料之子集,此係因為構建模型之成本函數忽略接近模型預測(臨限值
Figure 109135637-A0305-02-0017-56
內)之任何訓練資料。為進行對比,亦展示最小平方擬合LS至相同資料點(點虛線),其顯示過度擬合(過度複雜)之標識。
現將描述最小平方廻歸與SVM廻歸之間的差之高度簡化的數學描述。儘管實例使用疊對作為直接使用情況,但方法絕不專門用於估計疊對指紋特徵。本文所揭示之SVM廻歸技術同樣適合於諸如焦點、臨界尺寸(CD)、對準、邊緣置放誤差等之任何參數及/或包含於微影程序控制內之任何最佳化之指紋特徵估計。
對於最小平方及SVM廻歸情況兩者,模型可表示為:Ax=b
其中A為所謂的「設計矩陣」,其藉由評估量測柵格上之疊對(或其他參數)模型產生;術語x為所謂的「模型參數」,且為包含指紋特徵參數之向量:例如「k參數」或典型6個參數模型之參數(x/y平移參數:Tx、Ty,對稱/不對稱放大參數:Ms、Ma,對稱/不對稱旋轉參數:Rs、Ra)或用於模型化指紋特徵之任何其他適合的模型之參數;且術語b為包含x方向及y 方向兩者中所有所量測疊對值之向量(亦即,度量衡資料)。最小平方廻歸最佳化之目標為找到最小化Ax-b之模型參數x;亦即,最小平方法最小化等式Ax=b中之誤差之2-範數:
Figure 109135637-A0305-02-0018-1
其中∥.∥為2-範數運算子。應注意,斜體「x」將始終用以指代模型參數術語,與指示空間座標之非斜體「x」形成對比。
相比之下,在SVM廻歸技術中,最佳化旨在最小化指紋特徵參數之「複雜性」,該等指紋特徵參數經受所有量測由模型「充分解釋」之約束。
指紋特徵參數之複雜性可定義為除任何零階參數(例如,疊對模型中之平移參數Tx及Ty)之外的保持參數值之向量的2-範數。為更佳地理解在此上下文中之複雜性之概念,應理解機器學習中之以下概念:
●一般化:假定模型將擬合至資料集合上。第一比例(例如,第一半)之資料用以訓練(擬合)你的模型且第二比例(例如,第二半)之資料用以驗證曾經訓練之模型。第一比例之資料通常稱為樣本內資料且第二比例之資料通常稱為樣本外資料。樣本內誤差與樣本外誤差之間的比率為模型之概化性之測度;亦即,模型在表示在擬合程序中未使用(未考慮)之樣本外資料方面有多成功的測度。
●VC維度:瓦普尼克-切爾沃嫩基斯(Vapnik-Chervonenkis;VC)維為模型之複雜性之測度。在神經網路中,通常使用二分法來量測VC維。通常:VC維度愈低,擬合愈普遍適用。舉例而言:在一維資料上包含總計三個參數之二階模型的通用性可比在相同資料上擬合之具有總計四個參數之三階模型更佳(在此類情況下,參數之數目等於VC維)。應理 解,雖然通常陳述參數之數目不應超出量測之數目,但此通常為不正確的。實際上,VC維度(非參數)之數目應小於量測之數目。參數之數目不一定與VC維度相等。舉例而言,有可能使用包含10個量測值之資料來擬合1000個參數模型;然而,如VC維度所定義之擬合之複雜性不應高於10。
將完整無限維度模型擬合至給定資料集合上仍為可能的;擬合諸如f(A,x)=b之非線性模型之慣例為藉由使用核函數(Kernel)。藉由此類技術,有可能在模型自身具有無限數目個參數的同時保持VC維度較低,此意謂樣本外誤差可保持較低位準。
使用正則化技術可使樣本外誤差保持接近樣本內誤差。正則換為阻止複雜或靈活模型之學習(或擬合)之技術(亦即,其有利於更簡單的模型),從而保持VC維度較低且避免過度擬合之風險。
可基於對除零階項(亦即,偏置)外之參數值之2-範數之最佳化來最小化模型之VC維度。以疊對為例,此意謂最小化除線性平移參數(Tx及Ty)外之所有參數值。稍後,VC維度因此最佳化而減小的原因將變得顯而易見,以使得其足夠低以普遍適用,即使疊對模型具有極大數目之參數。
為保持等式簡單,對於此實例假定疊對資料模型可寫成:Ax+t=b
其中t表示零階(平移項)。接著低複雜性之最佳化問題導致模型參數之1-範數或2-範數之最小化;例如:
Figure 109135637-A0305-02-0019-3
受制於所有量測由模型充分解釋之準則。應注意,∥x∥僅為本文所描 述之方法中用於最小化之複雜性度量之一個實例。在其他實施例中,可最小化加權範數,例如:
Figure 109135637-A0305-02-0020-4
其中Qx之任何正定正方形矩陣大小。Q可含有關於使用某一模型參數之代價之資訊。舉例而言,若不希望使用第一參數p1,而是使用第二參數p2(儘可能地)對此進行補償,則相對於與參數p2相關之Q元素,可向與參數p1相關之Q元素給予較高權重,以使得估計器不大可能使用參數p1作為參數p2。Q亦可用以使用Q矩陣之非對角線元素將使用相對成本分配至參數對或參數集。
此準則意謂針對每一量測j
Figure 109135637-A0305-02-0020-6
其中|.|表示絕對值。此約束表明所有量測疊對值由具有比
Figure 109135637-A0305-02-0020-42
更佳之準確度之模型完全地解釋。
然而,離群值及殘差係幾乎不可避免的因此,應調節此類離群值,但同時對其進行懲罰。此可藉由提供鬆弛變數來完成,藉此最佳化問題可寫成:
Figure 109135637-A0305-02-0020-7
受制於:
Figure 109135637-A0305-02-0020-40
其中ξξ *為考慮到離群值之上及下鬆弛變數,且C為離群值懲罰係數,亦稱為「複雜性係數」。常數C(>0)判定擬合之平坦度(複雜性)與經由 懲罰離群值來容許大於
Figure 109135637-A0305-02-0021-57
之偏差之程度之間的折衷。複雜性係數愈高,模型選擇複雜模型之自由度愈大,以便更佳表示樣本內資料。在一個極端下,無關於用以產生A矩陣之疊對模型,若C=0,則解決方案將簡單地僅為零階平移。在另一極端下,C等同無限將意謂不管複雜性如何,最大誤差總保持小於某一值;例如類似於L 範數(絕對最大)最佳化(L <
Figure 109135637-A0305-02-0021-58
)。
最佳化應判定複雜性係數C、邊際
Figure 109135637-A0305-02-0021-59
及鬆弛變數ξ,以使得所有經量測資料在小於(例如用戶定義之)邊際
Figure 109135637-A0305-02-0021-60
之準確度內由模型表示;否則在此情況不可能時,其誤差(ξ)應保持為最小,限制條件為解決方案不會因此而變得過於複雜。
為將此最佳化問題轉換為二次規劃最佳化,可採用拉格朗日乘數(Lagrange multipliers)之方法。此方法將受約束問題轉化成一形式,以使得仍可應用不受約束問題之衍生測試。在亦滿足等式約束之函數之任何靜止點處,函數在該點處之梯度可表示為在該點處之約束之梯度的線性組合,其中拉格朗日乘數充當係數。函數之梯度與約束之梯度之間的關係引起初始問題之再形成,稱為拉格朗日函數。因此,可定義拉格朗日乘數αα*、ηη*,且拉格朗日函數L寫成:
Figure 109135637-A0305-02-0021-9
拉格朗日函數L可在伴隨公式中簡單地轉換為簡單二次規劃,其中資料之內積形成成本函數且C形成不等式約束:
Figure 109135637-A0305-02-0022-10
受制於:
Figure 109135637-A0305-02-0022-12
初始模型參數x為設計矩陣與所達成的最佳拉格朗日乘數之線性組合:
Figure 109135637-A0305-02-0022-15
在解決最佳化問題之後,變得顯而易見的是,大部分α (*)(亦即,α j α j *)值為零。僅極少α (*)值包含非零值。非零α (*)值之數目為此問題之VC維度。因此,整個模型參數可寫成僅幾個量測點之線性組合:x i j (α j -α j *)A ji
即使疊對模型為非常高階(例如,為100個參數之階),若僅極少(例如,6個)α (*)值非零,則模型之複雜性(VC維度)為6,且模型與六個參數(『6par』)模型一樣普遍適用。然而,樣本內誤差及樣本外誤差兩者均低至100參數模型。
對應於非零α (*)且亦有助於指紋特徵參數x之資料值(矩陣A之行)中之每一者稱為支援向量,此係因為其為在高維空間中支援超平面之向量(因此名為支援向量機)。在先前段落之具體實例中,存在6個支援向量,其中之每一者為100維的且一起支援100維超平面。應理解,最佳化的並非誤差、亦非參數,而是α (*)。在最佳化(例如,使用卡羅需-庫恩-塔克條件(Karush-Kuhn-Tucker;KKT)條件)之後判定偏置(或用於疊對情況之平移參數),該偏置不一定與資料之平均值相等。
總而言之,提出使用SVM廻歸來擬合參數指紋特徵(例如,疊對)作為微影程序最佳化之部分。歸因於其2D性質,呈當前已知形式之SVM廻歸不能直接應用於指紋特徵資料,而呈一般形式之SVM僅可處理一維資料。因此,本文中描述可應用於2D指紋特徵資料之SVM技術之經修改版本。
圖5展示與使用最小平方擬合(LSQ)方法進行模型化相比目標邊際
Figure 109135637-A0305-02-0023-61
為0.45nm之SVM模型化之結果的實例圖5(a)及圖5(b)各展示樣本內誤差(亦即,在量測點處之模型化誤差)之累積曲線圖。y軸展示低於或等於疊對值OVdx、OVdy(分別對應圖5(a)及圖5(b))之樣本內誤差值之量測點的累積數目(呈百分比形式)。因為SVM忽略目標邊際
Figure 109135637-A0305-02-0023-62
內之量測點,所以與使用LSQ方法之模型化相比,SVM模型化通常導致更少量測點具有低於目標邊際
Figure 109135637-A0305-02-0023-63
之樣本內誤差。然而,SVM模型化通常導致多個量測點具有在目標邊際上之樣本內誤差(對應於每一曲線圖之
Figure 109135637-A0305-02-0023-64
處之豎直部分)。因此,相較於使用LSQ方法的模型化,預期SVM模型化會導致更佳模型化(亦即,更多量測點具有小於或等於目標邊際之模型化誤差),因為SVM犧牲低誤差點以獲得高誤差點。因此,SVM可藉由將所有校正位能集中在較大誤差上,而不將校正位能浪費在較小誤差上來改良良率。
通常在疊對模型化(或對所關注之另一參數之模型化)中且在前述具體實例之情況下,需要在擬合之前假定指紋特徵模型;例如澤尼克(Zernike)、常規多項式或任何其他模型。然而,根據定義,不可能知道/保證不存在模型失配。此意謂並不一定使用「假定」疊對模型來準確地模型化基礎疊對。
具有固定預定義指紋特徵模型需要適合該假定之某一取樣 佈局。舉例而言,不可能用例如僅適用於第二類模型之稀疏取樣的疊對量測來為第一類模型更新指紋特徵(例如,判定每一場校正之每一曝光校正(CPE)指紋特徵)。對於固定預定義「假定」模型,模型粒度為有類別的。舉例而言,模型類別可包括每場模型、平均場模型、上下掃描(SUSD)相依模型、每晶圓、每夾盤或每批次模型。但模型不能部分地為此等類別中之一者;例如其可不為「稍微每場」、「稍微每晶圓」等。此類不靈活方法並不理想。真實疊對將為機器疊對及程序指紋特徵之結果,其未必遵循模型定義。舉例而言,倍縮光罩加熱誘發的變化部分地在場與場之間發生(場間分量);然而,其亦可部分地在整個平均場中發生(場內分量)。夾盤1可稍微不同於夾盤2,但兩個夾盤之透鏡貢獻可相同等。可使用具有不同粒度之模型來模型化來自不同夾盤之此等夾盤貢獻。然而,使用核函數,核函數可模型化倍縮光罩加熱及/或此等不同夾盤貢獻值,而無需定義指紋特徵之粒度。
下文描述之實施例之要素為使用核函數以抽象化方式定義模型的類別,而非直接指定待擬合之模型。在此之後,最佳化核函數可由核函數定義之模型類別形成,同時擬合至形成的核函數。
為理解此概念背後之想法,重要的係仔細檢查估計/模型化任務。疊對/焦點/cd(或其他所關注參數)之模型化之基本概念為:
●假定可用一組(例如,多項式)函數來描述所量測疊對/焦點/cd值。
●藉由最小化誤差指示符來計算此等(例如,多項式)函數之係數。
舉例而言,可假定可用常規多項式來描述特定模型指紋特徵。可假定每一場或晶圓或批次具有不同指紋特徵。此等陳述中之每一者為一種假定。基於該假定,計算模型中所假定之權重或「指紋特徵參 數」;例如藉由最小化量測位置處之集體疊對誤差(例如,第二範數)。以此方法,可假定之模型複雜性及指紋特徵參數之數目受量測點之數目(及有效性)限制。在數學上,此對於最小平方解確實如此,但其對於SVM未必如此。
在此實施例中提出用新的最佳化問題來替換前述假定及計算步驟兩者,該新的最佳化問題在數學上等同於假定「無限參數」(或至少極高維)模型。極高維模型可包含例如:超過500維、超過1000維、超過5000維、超過50000維、超過5百萬維或無限。對此存在許多優勢,包括:
●可避免或至少減少模型失配。不需要選擇模型且不需要人類輸入(因此移除失效模式)。實際上,所關注知識及上下文之參數累積於所謂的核函數中。
●有可能使用某一程序/掃描器知識以給予上下文抽象化意義,且因此根據稀疏資料估計非常高度複雜及準確的指紋特徵。
●有可能在上下文中給出時間之意義,從而實現對將來批次之預測而非進行時間濾波。應注意,時間濾波以增加相位滯後或降低效能之某一延遲為代價來減少雜訊。
●指紋特徵對於雜訊資料係穩健的(歸因於ε密集死帶)。
●該方法可更易於處理更少且不均勻之度量衡資料。此可減小度量衡負載且提高晶圓廠產出量。
●模型化指紋特徵要儘可能小以使得更有效地使用致動器範圍。舉例而言,在兩個數學描述可描述同一指紋特徵之情況下,可選擇最小一個以使得不浪費致動能力。此可騰出預算以用於其他校正。
●無過度擬合且無擬合不足:為保持樣本外誤差儘可能接近樣本內誤差,機器學習技術(包括SVM)試圖獲得對取樣有最小可能差異之模型。此經由邊際最大化及正則化實現。此類技術在統計學上可在非量測位置處具有較小誤差。
●估計的指紋特徵模型足夠較佳地描述經量測資料。使用此技術容易捕捉不可能被任何其他模型捕捉之指紋特徵。
該技術在良率曲線圖中亦有與普通SVM所存在的表現相同的表現。
數學描述:
在SVM中,即使m小於nn Par模型亦可擬合至m個數目之量測。為說明將無限參數模型擬合至有限數目個量測,將給出疊對實例。儘管實例使用疊對作為直接使用情況,但該方法絕不專門用於疊對且可用於諸如焦點、CD、對準、邊緣置放等其他所關注參數PoI。
如前所述,疊對估計問題通常定義為:Ax=b
其中A為所謂的「設計矩陣」,其藉由評估量測柵格上之「疊對模型」而產生。x為含有例如k參數之指紋特徵參數之向量,且b為含有x方向及y方向上之所有經量測疊對值之向量。
模型假定包含於設計矩陣A內:此矩陣之每一列係指晶圓上之某一量測位置且此矩陣之每一行表示模型中假定之特定基底函數(例如,多項式之單項)。
A ij =在第i個量測點上求值之第j個基底函數
每一基底函數通常為位置之非線性函數。舉例而言,38 par每場模型之每一基底函數為相對於場(x f y f )之中心之場中的點的位置的(非線性)函數。
Figure 109135637-A0305-02-0027-16
其中p及k為多項式之冪。假定模型或模型化步驟實際上意謂假定一函數,該函數將晶圓上之每一點(與晶圓相關聯之每一上下文參數)映射至更高維空間中之另一點上。舉例而言,對於具有100個場之晶圓,38par每場每夾盤模型採用任何5維向量(每一場中之量測點;2D用於Xf、Yf;2D用於Xw、Yw且1D用於ChuckID),接著將其映射至7600維空間上(38Par*2個夾盤*100個場=7600)。此形式上寫作:
Figure 109135637-A0305-02-0027-46
j=1→nPar
其中nPar意謂參數之數目。此函數影響每一量測點i。形式上:X=x fi ,y fi ,x wi ,y wi ,ChuckIDi
Figure 109135637-A0305-02-0027-43
{
Figure 109135637-A0305-02-0027-44
,{1,2}}稱為輸入空間,
Figure 109135637-A0305-02-0027-45
稱為特徵空間,且疊對(dxdy)之值稱為輸出空間。
圖6在概念上說明模型假定。該圖展示使用指紋特徵模型FP經由模型化步驟MOD(假定)自輸入空間IS至較高維空間或特徵空間FS之包含晶圓座標及上下文的隱式映射。特徵空間FS包含設計矩陣A之列。接著嘗試在特徵空間FS與輸出空間OS之間進行線性擬合,包含測度或經估計疊對或其他所關注參數PoI值。
本文中預想之問題為自設計矩陣A需要什麼?甚至真的需要設計矩陣嗎?
在最小平方最佳化(及廻歸之許多其他形成)中,可展示通常需要以下內容:
Figure 109135637-A0305-02-0028-17
其應為完整等級,或使用諸如吉洪諾夫(Tikhonov)等正則化技術來進行(取決於模型)。
然而對於SVM,需要以下:K=A T A (nMeas×nMeas)
其可不為完整等級,且其中nMeas為量測之數目。在SVM之上下文中,K矩陣稱為核函數。實際上,K ij 為特徵空間中之ij元素(亦即,向量)(分別與量測點ij相關聯)之內積。內積在數學中為兩個向量之相似度之定義。因此,K ij 描述量測點i與量測點j的類似程度。
具有不同數目個參數之不同模型可輸出不同值;然而,在核函數保持相同大小且核函數之值對於不同模型變化不大時,模型將保持相似感。舉例而言,第一模型及第二模型兩者均應在某種程度上在晶圓上之兩個點之相似度上達成一致。如此,若兩個點使用一個模型而具有相同值,則其使用另一模型即不應具有相差懸殊之值。
使用核函數,不必為了建構K而首先建構設計矩陣(A)。可藉由首先解析地產生核函數k來產生K矩陣;例如:
Figure 109135637-A0305-02-0028-74
其中
Figure 109135637-A0305-02-0028-69
經定義為映射函數。應注意,任何模型可使用上述等式轉換為核函數,僅需將與模型相關聯之映射函數之每一元素相乘,在XiXj處求值,且將其求和(亦即,計算由映射函數
Figure 109135637-A0305-02-0028-70
橫跨之特徵空間中之兩個向量ij的內積)。舉例而言,
Figure 109135637-A0305-02-0028-75
然而,為使核函數有效,不必對應於任何模型。在此之後,可在每一量測位置上對該函數求值:K ij =k(X i ,X j )
其與首先建構設計矩陣A,且接著將其自身相乘完全一致。即使在非常難以或甚至不可能創建設計矩陣A之情況下,例如,當核函數描述無限維空間之內積時,此特技允許創建核函數矩陣。
在數學上,對此核函數為有效的唯一要求為其在定義核函數k之空間內應為正半定。因此,不要求檢查映射函數
Figure 109135637-A0305-02-0029-72
是否實際存在。此意謂可使用不對應於任何疊對模型之核函數,只要其為正半定即可。核函數可經建構以使得其對應於無限維模型。
在實施例中,核函數可描述距離度量。距離度量可為特徵空間中之兩個元素之內積。替代地,距離度量可為特徵空間中之兩個元素之分量之間的差之絕對值的總和(例如k(X 1,X 2)=|1-1|+| X 1-X 2|+| X 1 2-X 2 2|+| X 1 3-X 2 3|)。
為理解核函數想法,給出以下實例。對於2維空間中之實例量測:X=[x f ,y f ] T (例如,僅一個場)
且核函數為:
Figure 109135637-A0305-02-0029-20
其將模型表示為:
Figure 109135637-A0305-02-0029-21
其為所有至多二階的多項式。
類似地,核函數
Figure 109135637-A0305-02-0030-22
表示所有至多n階的多項式。
類似地,高斯核函數:
Figure 109135637-A0305-02-0030-23
表示具有無限數目個參數之模型,其中σ為任意長度尺度。當然,將不可能產生具有無限數目個列之設計矩陣;然而,仍然有可能產生表示特定無限維空間中之內積之核函數。
自然地,由於沒有任何模型,不可能具有指紋特徵參數。然而,求解基於核函數之SVM產生(非參數)函數,該函數描述晶圓之任何位置處之疊對。其並非指紋特徵參數與多項式基函數之線性組合,實際上疊對函數為:
Figure 109135637-A0305-02-0030-41
可基於最佳化問題來解決此問題。最佳化之輸入可為:
●核函數:k(X j ,X i )(將描述有關核函數之選擇更多資訊);及
●量測資料點(例如,輸入空間中之座標及疊對值)
最佳化問題之輸出可為:
●平移項txty
●支援向量係數α p
Figure 109135637-A0305-02-0030-73
●支援向量X p
●支援向量nSPV之數目。
最佳化問題可採取以下形式:
Figure 109135637-A0305-02-0031-27
受制於:
Figure 109135637-A0305-02-0031-29
且其中
Figure 109135637-A0305-02-0031-65
為雜訊(扁帶之厚度)之任意估計/猜測,且C為如上文已定義之正則化因子。
以與較早描述之線性實施例相同的方式,基於核函數之SVM包含最小化經受充分解釋所有量測之約束的指紋特徵參數之複雜性度量。對於基於核函數之SVM,指紋特徵參數之複雜性可在概念上與線性實施例中定義的相同(例如,與保持參數值(例如,除Tx及Ty之外)之向量之2-範數相同);然而未經明確計算。
在解決最佳化問題之後,將注意到大部分α (*)為零。僅極少α (*)將具有非零值。非零α (*)之數目為此問題之VC維度。因為整個模型參數可寫成極少量測點之線性組合。在解決最佳化之後,可報告函數,或在任何(稠密)佈局上對函數求值,且報告疊對值。
總而言之,下表展示SVM與基於核函數之SVM(KB SVM)之間的演算法差異:
Figure 109135637-A0305-02-0031-76
Figure 109135637-A0305-02-0032-30
核函數之選擇:
一個重要問題為:核函數應為什麼?且核函數如何影響結果?核函數為基於域知識之相似度(在此情況下為個別量測之間)的量測。應注意,此概念係關於基於核函數之估計之框架,而非任何特定實施(或任何特定核函數)。
所提出的概念產生可用於不同目的之工具;然而每次應較佳地進行對核函數之智慧選擇。
在第一實例中,核函數可包含部分每場、部分全域場間及部分全域場內,所有皆是至多N階的多項式。
首先,將給出1D實例。基礎圖案為x f ,x w 之多項式/正弦/餘弦函數,其中所有場不同,但藉由正弦/餘弦關係彼此相關。在隨機位置(例如,圓)中取樣/量測此圖案,且使用多項式核函數將其送至KB-SVM。
Figure 109135637-A0305-02-0032-31
其中在量測ix i =[x w ,x f ]。
量測佈局非常隨機,例如可能使得一或多個場沒有量測。然而,具有簡單4階核函數之KB-SVM能夠正確地擬合資料,即使針對不存在量測之場亦如此。引起關注地,若視為其沒有要添加任何額外資訊,則甚至可忽略或放棄量測。
圖7為說明此情況之輸出空間OS(所關注參數之值)相對於輸入空間IS(場1至6上之晶圓位置)之曲線圖。第一曲線(黑線)為實際指紋特徵FP且第二曲線(灰線)為使用此實例中之多項式核函數之KB-SVM估計。場4不包含量測資料M且因此不包含支援向量SV。然而,對於包括場4之所有場,估計KB SVM非常接近實際指紋特徵FP。
在2D疊對實例中應用相同想法,有可能基於僅適合於使用其他技術進行全域模型化之資料集合來獲得每場校正(per field corrections;CPE)。此技術之主要優勢為其嘗試自任何(非完整)可用資料集中合找出基礎圖案。更具體言之,假定其中一些場經稠密量測而其他場經稀疏量測之量測佈局,將需要使用KB-SVM來估計此佈局之CPE。想法為每一場有一些不同,且藉由現有量測(在一定程度上)捕捉此等差異。接著建構核函數以捕捉相似度之此測度。核函數不需要很精確,但應具有必要的分量。舉例而言,可使用以下核函數:
Figure 109135637-A0305-02-0033-77
其中
Figure 109135637-A0305-02-0033-35
核函數之第一部分基本上表示若兩個點處於同一場中,則兩個點之相似度比不在同一場中時高10倍。此意謂:部分(0.1)全域場內及部分(1)每場。第二部分表示任何場內指紋特徵可為任何5階多項式。核 函數之第三部分表示指紋特徵之場間部分應為連續的(高斯核函數)。
此技術之缺點為其需要專家來建構良好的核函數。儘管核函數中之數目無關緊要,但其結構卻很重要。
在另一實例中,提出場間高斯核函數。局部場間指紋特徵可使得其可不會藉由現有指紋特徵模型來捕捉,係因為需要極高階模型;該指紋特徵可過於局部。另外,現有每場模型給出離散、不精確的估計。為模型化此指紋特徵,高斯徑向核函數可採取以下形式:
Figure 109135637-A0305-02-0034-78
其中X i =[x w ,y w ]為晶圓上之點之位置,且σ為常數(大於點之間的距離,小於指紋特徵之覆蓋面積)。
每場模型給出不應為離散的物理指紋特徵之離散估計。
基於核函數之方法需要核函數之良好定義。此可基於專家知識、或使用資料驅動方法得出。另一方法可包含多核函數估計。
總而言之,此基於核函數之實施例包含建構或選擇核函數來描述用於評估所量測指紋特徵之一或多個準則(例如,兩個晶圓座標之間的接近性)。核函數定義模型之一或多個類別(例如,可能根據加權組合的多個模型類別),自該模型中產生在考慮模型之不同粒度(例如,每胞元、每晶粒、每子場、每場、每晶圓、每批次等)的同時用於使所量測指紋特徵緻密之函數。具有核函數之SVM判定用以描述所量測指紋特徵之函數。
可使用以下條項來進一步描述實施例:
1.一種將量測資料擬合至一模型中之方法,其包含:獲得與一基板之至少一部分之一效能參數相關的量測資料;及 藉由最小化應用於該模型之擬合參數之一複雜性度量來將該量測資料擬合至該模型,同時不允許該量測資料與該擬合模型之間的一偏差超出一臨限值。
2.如條項1之方法,其中該複雜性度量為該等模型參數之1-範數或2-範數,或為經加權模型參數之1-範數或2-範數。
3.如條項1或2之方法,其中該複雜性度量進一步包含:用以調節包含於該量測資料內之任何離群值的一或多個鬆弛變數,允許該量測資料與該擬合模型之間的該偏差超出該等離群值之臨限值;及用於加權該鬆弛變數的一或多個係數。
4.如條項3之方法,其中該一或多個係數為一複雜性係數,其可經選擇及/或最佳化以判定針對該擬合之該複雜性對該等離群值進行懲罰的程度。
5.如任一前述條項之方法,其中該量測資料包含至少二維量測資料。
6.如條項5之方法,其中該擬合步驟包含判定描述該效能參數之一空間分佈之一二維指紋特徵。
7.如任一前述條項之方法,其進一步包含為該複雜性度量定義拉格朗日乘數,及使用該等拉格朗日乘數將該複雜性度量轉換為一拉格朗日函數。
8.如條項7之方法,其包含將該拉格朗日函數轉換為二次規劃最佳化。
9.如條項7或8之方法,其中該擬合步驟包含將模型參數判定為一設計矩陣與該等拉格朗日乘數之經最佳化值之一線性組合。
10.如任一前述條項之方法,其中該量測資料描述以下中之一或多者:該基板之一特性;定義待施加至該基板之一圖案的一圖案化器件之一特性;用於固持該基板之一基板載物台及用於固持該圖案化器件之一倍縮光罩載物台中之一者或兩者的一位置;或將該圖案化器件上之該圖案轉印至該基板的一圖案轉印系統之一特性。
11.如任一前述條項之方法,其中該量測資料包含以下中之一或多者:疊對資料、臨界尺寸資料、對準資料、聚焦資料及調平資料。
12.如任一前述條項之方法,其中該複雜性度量係關於控制一微影程序以最佳化對以下中之一或多者之控制:在平行於一基板平面之方向上之曝光軌跡控制;在垂直於該基板平面之方向之曝光軌跡控制;微影裝置之一源雷射器之透鏡像差校正、劑量控制及雷射頻寬控制。
13.如條項12之方法,其包含根據該經最佳化之控制來控制該微影程序。
14.如條項12或13之方法,其中該微影程序包含曝光一基板上之一層,從而形成用於製造一積體電路之一製造程序之部分。
15.如任一前述條項之方法,該複雜性度量可操作以最小化以下中之一或多者:疊對誤差、邊緣置放誤差、臨界尺寸誤差、聚焦誤差、對準誤差及調平誤差。
16.一種模型化一效能參數分佈之方法,其包含:獲得與一基板之至少一部分之一效能參數部分相關的量測資料;及藉由一模型之最佳化,基於該量測資料來模型化該效能參數分佈,其中該最佳化最小化表示經受以下一約束之該經模型化之效能參數分佈之一複雜性之一成本函數:實質上所有包含於該量測資料內之點在來自該經 模型化之效能參數分佈之一臨限值內。
17.如條項16之方法,其中,其中該量測資料包含一或多個離群值,允許該一或多個離群值不滿足該約束,且該成本函數進一步包含一懲罰項以懲罰不滿足該約束之該等離群值。
18.如條項17之方法,其中該懲罰項包含用以調節包含於該量測資料內之任何離群值的一或多個鬆弛變數,該約束對於該等離群值放寬。
19.如條項18之方法,其中該懲罰項進一步包含一複雜性係數,其可經選擇及/或最佳化以判定針對該擬合之該複雜性對該等離群值進行懲罰的程度。
20.如條項16至19之方法,其進一步包含為該成本函數定義拉格朗日乘數,及使用該等拉格朗日乘數將該成本函數轉換為一拉格朗日函數。
21.如條項20之方法,其包含將該拉格朗日函數轉換為二次規劃最佳化。
22.如條項20或21之方法,其中該模型化步驟包含將模型參數判定為一設計矩陣與該等拉格朗日乘數之經最佳化值之一線性組合。
23.一種判定描述一效能參數分佈之一函數之方法,其包含:獲得與一基板上之取樣位置之一效能參數相關之量測資料;判定一核函數;及使用該核函數執行一最佳化程序以判定定義該函數之支援向量及支援值。
24.如條項23之方法,其中該核函數包含一正半定矩陣。
25.如條項23或24之方法,其中判定該核函數至少部分地基於用於評估該量測資料之一準則。
26.如條項23至25中任一項之方法,其進一步包含基於一映射函數產生一特徵空間。
27.如條項26之方法,其中該核函數對應於與該特徵空間相關聯之一距離度量。
28.如條項26或27之方法,其中該特徵空間之維度對應於該映射函數之分量。
29.如條項26至28中任一項之方法,其中該映射函數將該等取樣位置映射至該特徵空間。
30.如條項27至29中任一項之方法,其中該距離度量定義該特徵空間之元素之間的距離。
31.如條項27至30中任一項之方法,其中該距離度量衍生自針對該特徵空間定義之一內積。
32.如條項23至31中任一項之方法,其中該至少一個準則包含該量測資料之個別量測之間的相似度之一測度。
33.如條項23至32中任一項之方法,其包含:產生一核函數;及藉由對該量測資料之一或多個量測位置上之該核函數求值來判定該核函數。
34.如條項33之方法,其中該核函數經解析產生。
35.如條項23至34中任一項之方法,其中該執行一最佳化程序包含使用該核函數執行基於一核函數之支援向量機廻歸。
36.如條項23至35中任一項之方法,其中該基於核函數之支援向量機廻歸包含:藉由最小化應用於該等支援向量之係數之一複雜性度量,使 用該核函數來模型化該量測資料,同時不允許該量測資料與該函數之間的一偏差超出臨限值。
37.如條項35或36之方法,其中該最佳化程序包含求解該基於核函數之支援向量機廻歸以產生該函數。
38.如條項23至37中任一項之方法,其中該函數包含一非參數函數。
39.如條項23至38中任一項之方法,其中該核函數經建構以使得其對應於一無限維參數模型。
40.如條項23至39中任一項之方法,其中該核函數經建構以使得其對應於模型之一或多個類別。
41.如條項40之方法,其中模型之該類別描述一模型之一粒度級。
42.如條項40或41之方法,其中該核函數經建構以使得其對應於模型之複數個類別。
43.如條項23至42中任一項之方法,其中該核函數包含一高斯核函數、一多項式核函數及/或一離散核函數。
44.一種電腦程式,其包含程式指令,該等程式指令可操作以在運行於適合的裝置上時執行如條項1至43中任一項之方法。
45.一種非暫時性電腦程式載體,其包含如條項44之電腦程式。
46.一種處理器件,其包含儲存構件,該儲存構件包含如條項36之電腦程式;及一處理器,其可經操作以回應於該電腦程式執行如條項1至43中任一項之方法。
47.一種微影裝置,經組態以在一微影程序中向一基板提供產品結 構,包含如條項46之處理器件。
48.如條項47之微影裝置,其進一步包含:一基板載物台,其用於固持該基板;一圖案化器件載物台,其用於固持一圖案化器件;及一圖案轉印單元,以用於將該圖案化器件上之一圖案轉印至該基板上。
49.如條項48之微影裝置,其包含一致動器,該致動器用於該基板載物台、圖案化器件載物台及圖案轉印單元中之至少一者,且可操作以便基於該擬合模型來控制該致動器。
50.一種微影單元,其包含:如條項47、48或49之微影裝置;及一度量衡系統,其可操作以量測該量測資料。
關於微影裝置所使用之術語「輻射」及「光束」涵蓋所有類型之電磁輻射,包括紫外線(UV)輻射(例如,具有為或為約365nm、355nm、248nm、193nm、157nm或126nm之波長)及極紫外線(EUV)輻射(例如,具有在5nm至20nm之範圍內之波長),以及粒子束,諸如,離子束或電子束。
術語「透鏡」在上下文允許的情況下可指各種類型之光學組件中之任一者或組合,包括折射、反射、磁性、電磁及靜電光學組件。
對具體實施例之前述描述將因此完全地揭露本發明之一般性質:在不脫離本發明之一般概念的情況下,其他人可藉由應用此項技術之技能範圍內之知識針對各種應用而容易地修改及/或調適此等特定實施例,而無需進行不當實驗。因此,基於本文中所呈現之教示及指導,此類 調適及修改意欲在所揭示之實施例之等效物的含義及範圍內。應理解,本文中之措辭或術語係出於藉由實例描述而非限制之目的,以使得本說明書之術語或措辭待由熟習此項技術者按照教示及指導進行解譯。
本發明之廣度及範疇不應受上述例示性實施例中之任一者限制,而應僅根據以下申請專利範圍及其等效者來定義。
HP:虛線
LS:最小平方擬合
SVM:支援向量機
Figure 109135637-A0304-11-0002-9
:臨限值

Claims (15)

  1. 一種將量測資料擬合至一模型中之方法,其包含:獲得與一基板之至少一部分之一效能參數(performance parameter)相關的量測資料;及藉由最小化應用於該模型之擬合參數(fitting parameters)之一複雜性度量來將該量測資料擬合至該模型,同時不允許該量測資料與該擬合模型之間的一偏差超出一臨限值。
  2. 如請求項1之方法,其中該複雜性度量為該等模型參數之1-範數(norm)或2-範數,或為經加權模型參數之1-範數或2-範數。
  3. 如請求項1之方法,其中該量測資料包含至少二維量測資料。
  4. 如請求項3之方法,其中該擬合步驟包含判定描述該效能參數之一空間分佈之一二維指紋特徵。
  5. 如請求項1之方法,其進一步包含為該複雜性度量定義拉格朗日乘數(Lagrange multipliers),使用該等拉格朗日乘數將該複雜性度量轉換為一拉格朗日函數及將該拉格朗日函數轉換為二次規劃最佳化(quadratic programming optimization)。
  6. 如請求項5之方法,其中該擬合步驟包含將模型參數判定為一設計矩 陣與該等拉格朗日乘數之經最佳化值之一線性組合。
  7. 如請求項1之方法,其中該量測資料描述以下中之一或多者:該基板之一特性;定義待施加至該基板之一圖案的一圖案化器件之一特性;用於固持該基板之一基板載物台及用於固持該圖案化器件之一倍縮光罩(reticle)載物台中之一者或兩者的一位置;或將該圖案化器件上之該圖案轉印至該基板的一圖案轉印系統(pattern transfer system)之一特性。
  8. 如請求項1之方法,其中該量測資料包含以下中之一或多者:疊對資料、臨界尺寸資料、對準資料、聚焦資料及調平(levelling)資料。
  9. 如請求項1之方法,其中該複雜性度量係關於控制一微影程序以最佳化對以下中之一或多者之控制:在平行於一基板平面之方向上之曝光軌跡控制(exposure trajectory control);在垂直於該基板平面之方向上之曝光軌跡控制;微影裝置之一源雷射之透鏡像差校正、劑量控制及雷射頻寬控制。
  10. 如請求項9之方法,其包含根據該經最佳化之控制來控制該微影程序。
  11. 如請求項9之方法,其中該微影程序包含曝光基板上之一層,從而形成用於製造一積體電路之一製造程序之部分。
  12. 如請求項1之方法,該複雜性度量可操作以最小化以下中之一或多者:疊對誤差、邊緣置放誤差、臨界尺寸誤差、聚焦誤差、對準誤差及調平誤差。
  13. 一種將量測資料擬合至一模型中之方法,其包含:獲得與一基板之至少一部分之一效能參數相關的量測資料;及藉由最小化應用於該模型之擬合參數之一複雜性度量來將該量測資料擬合至該模型,其中當該量測資料內之任何離群值(outliers)與該擬合模型之間的一偏差超出一臨限值,該複雜性度量進一步包含:用以調節該等離群值的一或多個鬆弛變數(slack variables),及用於加權該等鬆弛變數之一或多個係數,以使得該等離群值被容許(tolerated)。
  14. 如請求項13之方法,其中該一或多個係數為一複雜性係數,其可經選擇及/或最佳化以判定針對該擬合之該複雜性對該等離群值進行懲罰的程度。
  15. 一種非暫時性電腦程式載體,其包含一電腦程式,該電腦程式包含可操作以在運行於適合的裝置上時執行如請求項1或13之方法之程式指令。
TW109135637A 2019-10-17 2020-10-15 將量測資料擬合到模型中及模型化性能參數分佈的方法及相關裝置 TWI810491B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
EP19203752.1 2019-10-17
EP19203752.1A EP3809203A1 (en) 2019-10-17 2019-10-17 Methods of fitting measurement data to a model and modeling a performance parameter distribution and associated apparatuses
EP20193618.4 2020-08-31
EP20193618 2020-08-31

Publications (2)

Publication Number Publication Date
TW202129427A TW202129427A (zh) 2021-08-01
TWI810491B true TWI810491B (zh) 2023-08-01

Family

ID=72752910

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109135637A TWI810491B (zh) 2019-10-17 2020-10-15 將量測資料擬合到模型中及模型化性能參數分佈的方法及相關裝置

Country Status (6)

Country Link
US (1) US20240118629A1 (zh)
EP (1) EP4045976A1 (zh)
KR (1) KR20220058639A (zh)
CN (1) CN114585970A (zh)
TW (1) TWI810491B (zh)
WO (1) WO2021073921A1 (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20240063929A (ko) * 2021-09-09 2024-05-10 에이에스엠엘 네델란즈 비.브이. 계측 데이터 변환 방법
CN115618748B (zh) * 2022-11-29 2023-05-02 支付宝(杭州)信息技术有限公司 一种模型优化的方法、装置、设备及存储介质

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060238761A1 (en) * 2005-04-21 2006-10-26 Shun-Li Lin Method for analyzing overlay errors
TW201921151A (zh) * 2017-09-08 2019-06-01 荷蘭商Asml荷蘭公司 機器學習輔助光學接近誤差校正的訓練方法

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
NL1036245A1 (nl) 2007-12-17 2009-06-18 Asml Netherlands Bv Diffraction based overlay metrology tool and method of diffraction based overlay metrology.
NL1036597A1 (nl) 2008-02-29 2009-09-01 Asml Netherlands Bv Metrology method and apparatus, lithographic apparatus, and device manufacturing method.
NL1036857A1 (nl) 2008-04-21 2009-10-22 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
NL2004094A (en) 2009-02-11 2010-08-12 Asml Netherlands Bv Inspection apparatus, lithographic apparatus, lithographic processing cell and inspection method.
CN102498441B (zh) 2009-07-31 2015-09-16 Asml荷兰有限公司 量测方法和设备、光刻系统以及光刻处理单元
EP2470960A1 (en) 2009-08-24 2012-07-04 ASML Netherlands BV Metrology method and apparatus, lithographic apparatus, lithographic processing cell and substrate comprising metrology targets
NL2007176A (en) 2010-08-18 2012-02-21 Asml Netherlands Bv Substrate for use in metrology, metrology method and device manufacturing method.
US9140998B2 (en) 2010-11-12 2015-09-22 Asml Netherlands B.V. Metrology method and inspection apparatus, lithographic system and device manufacturing method
NL2010401A (en) 2012-03-27 2013-09-30 Asml Netherlands Bv Metrology method and apparatus, lithographic system and device manufacturing method.
NL2010458A (en) 2012-04-16 2013-10-17 Asml Netherlands Bv Lithographic apparatus, substrate and device manufacturing method background.
NL2010734A (en) 2012-05-29 2013-12-02 Asml Netherlands Bv Metrology method and apparatus, substrate, lithographic system and device manufacturing method.
EP3321737A1 (en) * 2016-11-10 2018-05-16 ASML Netherlands B.V. Method for determining an optimized set of measurement locations for measurement of a parameter of a lithographic process, metrology system

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060238761A1 (en) * 2005-04-21 2006-10-26 Shun-Li Lin Method for analyzing overlay errors
TW201921151A (zh) * 2017-09-08 2019-06-01 荷蘭商Asml荷蘭公司 機器學習輔助光學接近誤差校正的訓練方法

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
期刊 Chen-Chia Chuang, et al. Robust least squares-support vector machines for regression with outliers 2008 IEEE International Conference on Fuzzy Systems IEEE World Congress on Computational Intelligence IEEE 1 June 2008 page 312-317; *
期刊 Seongbo Shim, et al. Machine learning (ML)-based lithography optimizations 2016 IEEE Asia Pacific Conference on Circuits and Systems (APCCAS) 2016 IEEE Asia Pacific Conference on Circuits and Systems (APCCAS) IEEE 25 Oct. 2016 page 530-533 *

Also Published As

Publication number Publication date
TW202129427A (zh) 2021-08-01
WO2021073921A1 (en) 2021-04-22
CN114585970A (zh) 2022-06-03
EP4045976A1 (en) 2022-08-24
KR20220058639A (ko) 2022-05-09
US20240118629A1 (en) 2024-04-11

Similar Documents

Publication Publication Date Title
JP7443431B2 (ja) 計算メトロロジに基づく補正および制御
US20230021079A1 (en) Method for controlling a lithographic apparatus and associated apparatuses
JP6792572B2 (ja) リソグラフィ方法およびリソグラフィ装置
TWI721298B (zh) 度量衡方法及相關之電腦程式產品
TWI702478B (zh) 微影裝置、元件製造方法及相關資料處理裝置與電腦程式產品
TWI749657B (zh) 用於判定模型以預測與經圖案化之當前基板相關聯的疊對資料之方法及電腦程式產品
TW201719785A (zh) 減少非線性行為效應之方法與裝置
TW201725441A (zh) 校正圖案化製程誤差之方法與裝置
TW201725443A (zh) 校正圖案化製程誤差之方法與裝置
TW201725452A (zh) 校正圖案化製程誤差之方法與裝置
TW202024777A (zh) 量測方法與裝置
TWI810491B (zh) 將量測資料擬合到模型中及模型化性能參數分佈的方法及相關裝置
TW202109216A (zh) 基於傾斜擬合技術來判定對準模型的方法
TW201946101A (zh) 在半導體製程中用於評估控制策略之方法
US11796920B2 (en) Method for controlling a manufacturing process and associated apparatuses
EP3869271A1 (en) Method for controlling a manufacturing process and associated apparatuses
EP3809203A1 (en) Methods of fitting measurement data to a model and modeling a performance parameter distribution and associated apparatuses
US20240061353A1 (en) Method for determining a focus actuation profile for one or more actuators of a lithographic exposure apparatus
TWI754249B (zh) 判定一組度量衡點的方法及判定用於擬合量測之模型之方法
EP4254068A1 (en) Method for determining a spatial distribution of a parameter of interest over at least one substrate or portion thereof
TW202236025A (zh) 對基板區域上的量測資料進行模型化的方法及相關設備
KR20210107849A (ko) 리소그래피 프로세스를 제어하기 위한 방법 및 장치
NL2024950A (en) Method for controlling a manufacturing process and associated apparatuses