KR20220058639A - 측정 데이터를 모델에 근사화하고 성능 파라미터 분포를 모델링하는 방법 및 연관된 장치 - Google Patents

측정 데이터를 모델에 근사화하고 성능 파라미터 분포를 모델링하는 방법 및 연관된 장치 Download PDF

Info

Publication number
KR20220058639A
KR20220058639A KR1020227012795A KR20227012795A KR20220058639A KR 20220058639 A KR20220058639 A KR 20220058639A KR 1020227012795 A KR1020227012795 A KR 1020227012795A KR 20227012795 A KR20227012795 A KR 20227012795A KR 20220058639 A KR20220058639 A KR 20220058639A
Authority
KR
South Korea
Prior art keywords
model
substrate
data
approximation
measurement data
Prior art date
Application number
KR1020227012795A
Other languages
English (en)
Inventor
얀바한 알리아스하르 케이바니
프란스 레이니어르 스피어링
요헴 세바스티안 빌덴베르그
에버하르두스 코르넬리스 모스
Original Assignee
에이에스엠엘 네델란즈 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from EP19203752.1A external-priority patent/EP3809203A1/en
Application filed by 에이에스엠엘 네델란즈 비.브이. filed Critical 에이에스엠엘 네델란즈 비.브이.
Publication of KR20220058639A publication Critical patent/KR20220058639A/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/706835Metrology information management or control
    • G03F7/706839Modelling, e.g. modelling scattering or solving inverse problems
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70516Calibration of components of the microlithographic apparatus, e.g. light sources, addressable masks or detectors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70508Data handling in all parts of the microlithographic apparatus, e.g. handling pattern data for addressable masks or data transfer to or from different components within the exposure apparatus
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70525Controlling normal operating mode, e.g. matching different apparatus, remote control or prediction of failure
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/706835Metrology information management or control
    • G03F7/706837Data analysis, e.g. filtering, weighting, flyer removal, fingerprints or root cause analysis
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/20Design optimisation, verification or simulation
    • G06F30/27Design optimisation, verification or simulation using machine learning, e.g. artificial intelligence, neural networks, support vector machines [SVM] or training a model
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N20/00Machine learning
    • G06N20/10Machine learning using kernel methods, e.g. support vector machines [SVM]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70641Focus

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Software Systems (AREA)
  • Evolutionary Computation (AREA)
  • Data Mining & Analysis (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • General Engineering & Computer Science (AREA)
  • Medical Informatics (AREA)
  • Artificial Intelligence (AREA)
  • Computer Hardware Design (AREA)
  • Geometry (AREA)
  • Computing Systems (AREA)
  • Mathematical Physics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Length Measuring Devices With Unspecified Measuring Means (AREA)

Abstract

측정 데이터를 모델에 근사화하는 방법이 개시된다. 이러한 방법은 기판의 적어도 일부에 대한 성능 파라미터에 관련된 측정 데이터를 획득하는 단계; 및 상기 측정 데이터와 근사화된 모델 사이의 편차가 임계 값을 초과하지 않게 하면서 모델의 근사화 파라미터에 적용되는 복잡도 메트릭을 최소화함으로써, 상기 측정 데이터를 상기 모델에 근사화하는 단계를 포함한다.

Description

측정 데이터를 모델에 근사화하고 성능 파라미터 분포를 모델링하는 방법 및 연관된 장치
관련 출원에 대한 상호 참조
본원은 2019 년 10 월 17 일에 출원된 유럽 출원 제 19203752.1 및 2020 년 8 월 31 일에 출원된 유럽 출원 제 20193618.4에 대한 우선권을 주장하는데, 이들 양자 모두는 그 전체 내용이 원용되어 본원에 통합된다.
본 발명은 리소그래피 프로세스에서 기판에 패턴을 적용하기 위한 방법 및 장치에 관한 것이다.
리소그래피 장치는 기판 상에, 통상적으로는 기판의 타겟 영역 상에 원하는 패턴을 부여하는 장치이다. 리소그래피 장치는 예컨대 집적회로 IC의 제조 시에 사용될 수 있다. 그 경우, 마스크 또는 레티클(reticle)이라고도 불리는 패터닝 장치가 집적회로의 개별 층 상에 형성될 회로 패턴을 생성하기 위해 사용될 수 있다. 이러한 패턴은 기판(예컨대, 실리콘 웨이퍼) 상의 타겟 영역(예컨대, 하나의 다이(die)의 일부분, 하나의 다이, 또는 여러 개의 다이를 포함) 상으로 전사(transfer)될 수 있다. 패턴의 전사는 통상적으로 기판 상에 제공된 방사선-감응재(레지스트)층 상으로의 이미징(imaging)을 통해 수행된다. 일반적으로, 단일 기판은 연속적으로 패터닝되는 인접한 타겟부들의 네트워크를 포함할 것이다. 공지된 리소그래피 장치는, 한 번에 타겟부 상으로 전체 패턴을 노광함으로써 각 타겟부가 조사(irradiate)되는 이른바 스테퍼, 및 주어진 방향("스캐닝" 방향)으로 방사 빔을 통해 패턴을 스캔하는 동시에 이러한 방향에 평행 또는 반-평행하게 기판을 스캔함으로써 각 타겟부가 조사되는 이른바 스캐너를 포함한다. 또한, 기판 상에 패턴을 임프린트(imprint)함으로써 패터닝 장치로부터 기판으로 패턴을 전사할 수도 있다.
리소그래피 공정을 모니터하기 위해서는 패터닝된 기판의 파라미터를 측정한다. 이러한 파라미터에는 예컨대 패터닝된 기판 내에 형성되거나 또는 패터닝된 기판 상에 형성된 연속층 간의 오버레이 오차 및 현상된 감광성 레지스트의 임계 선폭(critical linewidth; CD)이 포함될 수 있다. 이러한 측정은 제품 기판 및/또는 전용의 계측 타겟 상에서 수행될 수 있다. 리소그래피 공정으로 형성된 미세 구조물의 측정을 행하기 위한 다양한 기술이 있으며, 이들 기술에는 주사 전자 현미경(scanning electron microscope) 및 다양한 특수 기기를 사용하는 것이 포함된다. 신속하고 비침투식 형태의 특수 검사 기기인 산란계(scatterometer)는 방사선의 빔을 기판의 표면 상의 타겟으로 향하게 하여 산란 또는 반사된 빔의 성질을 측정한다. 두 가지 주요 유형의 산란계가 알려져 있다. 분광 산란계(spectroscopic scatterometer)는 광대역 방사선 빔을 기판 상으로 지향시키고 특정한 좁은 각도 범위로 산란된 방사선의 스펙트럼(예컨대, 파장을 함수로 하는 세기)을 측정한다. 각도 분해 산란계(angularly resolved scatterometer)는 단색성 방사선 빔(monochromatic radiation beam)을 이용하고, 산란된 방사선의 세기를 각도를 함수로 하여 측정한다.
알려진 산란계의 예들은 US2006033921A1 호 및 US2010201963A1 호에 기술되는 타입의 각도-분해된 산란계를 포함한다. 이러한 산란계에 의하여 사용되는 타겟은, 예를 들어 40μm x 40μm인 상대적으로 큰 격자들이고, 측정 빔은 격자보다 더 작은 스폿을 생성한다(즉, 격자는 언더필된다). 복원에 의해 피쳐 형상을 측정하는 것과 함께, 공개 특허 출원 제 US2006066855A1에 기술된 것처럼, 이러한 장치를 사용하여 회절 기초 오버레이가 측정될 수 있다. 회절 차수들의 암-시야 이미징을 사용하는 회절-기초 오버레이를 통해, 더 작은 타겟에 대한 오버레이 측정이 가능해진다. 암시야 이미징 계측의 예는 국제 특허 출원 제 WO 2009/078708 및 제 WO 2009/106279에서 발견될 수 있는데, 이들은 그 전체 내용이 원용되어 본원에 통합된다. 이러한 기술의 추가적인 개발예들은 특허 공개 번호 US20110027704A, US20110043791A, US2011102753A1, US20120044470A, US20120123581A, US20130258310A, US20130271740A 및 WO2013178422A1에 설명되었다. 이러한 타겟은 조명 스폿 보다 더 작을 수 있고, 웨이퍼 상의 제품 구조체에 의하여 둘러싸일 수도 있다. 복합 격자 타겟을 사용하여 하나의 이미지 내의 다수의 격자들이 측정될 수 있다. 이러한 출원들 모두의 내용도 참조되어 본 명세서에 원용된다.
리소그래피 프로세스를 수행할 때, 예컨대 기판에 패턴을 적용하거나 이러한 패턴을 측정할 때, 프로세스를 모니터링하고 제어하기 위해서 프로세스 제어 방법이 사용된다. 이러한 프로세스 제어 기법은 통상적으로, 리소그래피 프로세스의 제어를 위한 정정을 얻기 위해서 수행된다. 이러한 프로세스 제어 방법을 개선한다면 바람직할 것이다.
본 발명의 제1 양태에서, 측정 데이터를 모델에 근사화하는 방법으로서, 기판의 적어도 일부에 대한 성능 파라미터에 관련된 측정 데이터를 획득하는 단계; 및 상기 측정 데이터와 근사화된 모델 사이의 편차가 임계 값을 초과하지 않게 하면서 모델의 근사화 파라미터에 적용되는 복잡도 메트릭을 최소화함으로써, 상기 측정 데이터를 상기 모델에 근사화하는 단계를 포함하는 방법이 제공된다.
본 발명의 제 2 양태에서, 성능 파라미터 분포를 모델링하기 위한 방법으로서, 기판의 적어도 일부에 대한 성능 파라미터에 관련된 측정 데이터를 획득하는 단계; 및 상기 측정 데이터에 기반하여 모델의 최적화에 의하여 성능 파라미터 분포를 모델링하는 단계를 포함하고, 상기 최적화는, 상기 측정 데이터 내에 포함된 실질적으로 모든 포인트가 모델링된 성능 파라미터 분포로부터의 임계 값 내에 있다는 제약에 노출되는 모델링된 성능 파라미터 분포의 복잡도를 나타내는 비용 함수를 최소화하는, 성능 파라미터 분포 모델링 방법이 제공된다.
본 발명의 다른 양태들에서, 적절한 장치에서 실행될 때 제 1 양태의 방법을 수행하도록 동작가능한 프로그램 명령을 포함하는 컴퓨터 프로그램이 제공되는데, 처리 디바이스는 프로세서 및 이러한 컴퓨터 프로그램이 저장된 저장소 및 이러한 처리 디바이스가 있는 리소그래피 장치를 포함한다.
본 발명의 다른 양태, 특징 및 장점 및 본 발명의 다양한 실시예의 구조 및 동작은 첨부 도면들을 참조하여 아래에서 상세하게 설명된다. 본 발명이 본 명세서에서 설명되는 특정 실시예로 한정되지 않는다는 것에 주의한다. 이러한 실시예는 본 명세서에서 예시를 위해 제공될 뿐이다. 본 명세서에 포함된 교시에 기초하는 추가적인 실시예들이 당업자에게 명백해질 것이다.
이제, 본 발명의 실시형태들이 첨부된 도면을 참조하여 오직 예시에 의하여 설명될 것이다:
도 1은 반도체 디바이스용 생산 설비를 제조하는 다른 장치와 함께 리소그래피 장치를 도시한다;
도 2는 처리 파라미터들의 예시적인 소스를 보여준다;
도 3은 리소그래피 장치의 제어를 위한 정정을 결정하는 본 발명의 방법을 개략적으로 도시한다;
도 4는 서포트 벡터 머신 회귀 최적화를 개념적으로 예시하는 오버레이 그래프이다;
도 5의 (a) 및 (b)는 x 및 y 방향 각각으로의 퍼센티지 수율 대 오버레이 오차의 누적적 수율 그래프이다;
도 6은 입력 공간 및 피쳐 공간 사이의 매핑 및 피쳐 공간으로부터 출력 공간으로의 근사화를 기술하는 "모델 가정(model assumption)"의 개념적 개략도이다; 그리고
도 7은 실제 지문 및 본 발명의 일 실시형태에 따라서 획득된 KB SVM 추정에 대한 출력 공간(OS)(관심 파라미터에 대한 값) 대 입력 공간(IS)(웨이퍼 위치)의 그래프이다.
본 발명의 실시형태들을 상세하게 설명하기 이전에, 본 발명의 실시형태들이 구현될 수 있는 예시적인 환경을 제시하는 것이 유익하다.
도 1은 대량의 리소그래피 제조 프로세스를 구현하는 산업 생산 설비의 일부로서, 리소그래피 장치(LA)를 200에서 도시한다. 제공된 예에서, 제조 프로세스는 반도체 웨이퍼와 같은 기판 상에 반도체 제품(집적 회로)을 제조하기 위해 적응된다. 상이한 타입의 기판을 이러한 프로세스를 변형하여 처리함으로써 매우 다양한 제품이 제조될 수 있다는 것을 당업자는 이해할 것이다. 반도체 제품의 생산은 오늘날 상업적으로 매우 중요한 일 예로서만 사용된다.
리소그래피 장치(또는 간략히 "리소 툴(200)") 내에는 202에 측정 스테이션(MEA)이 도시되고, 204에 노광 스테이션(EXP)이 도시된다. 제어 유닛(LACU)은 206에 도시된다. 이러한 예에서, 각각의 기판은 패턴이 적용되게 하기 위해 측정 스테이션 및 노광 스테이션에 진입한다. 광학 리소그래피 장치에서, 조절된 방사선 및 투영 시스템을 사용하여 패터닝 디바이스(MA)로부터 기판 상에 제품 패턴을 전사하기 위해서 예를 들어 패턴 전사 유닛 또는 투영 시스템이 사용된다. 이것은 패턴의 이미지를 방사선-감응 레지스트 재료의 층에 형성함으로써 이루어진다.
본 명세서에서 사용되는 "투영 시스템"이라는 용어는, 이용되고 있는 노광 방사선(exposure radiation)에 대해 적합하거나 또는 침지액(immersion liquid)의 사용 또는 진공의 사용과 같은 다른 요인들에 대해 적합한, 굴절식, 반사식, 반사 굴절식(catadioptric), 자기식, 전자기식, 및 정전식 광학 시스템, 또는 이들의 임의의 조합을 포함하는 어떠한 타입의 투영 시스템도 포함하는 것으로 넓게 해석되어야 한다. 패터닝(MA) 디바이스는 마스크 또는 레티클일 수 있고, 이것은 패터닝 디바이스에 의해 투과되거나 반사된 방사선 빔에 패턴을 부여한다. 알려진 동작 모드는 스테핑 모드 및 스캐닝 모드를 포함한다. 잘 알려진 바와 같이, 투영 시스템은 기판에 대한 지지 및 위치설정 시스템 및 패터닝 디바이스와 다양한 방식으로 협동하여 원하는 패턴을 기판에 걸친 많은 타겟 부분에 적용시킬 수 있다. 프로그램가능한 패터닝 디바이스는 고정된 패턴을 가지는 레티클 대신에 사용될 수 있다. 예를 들어, 방사선은 심자외선(DUV) 또는 극자외(EUV) 파대역에 있는 전자기 방사선을 포함할 수 있다. 본 발명은 다른 타입의 리소그래피 프로세스, 예를 들어 전자 빔에 의한, 예를 들어 임프린트 리소그래피 및 다이렉트 라이팅(direct writing) 리소그래피에도 역시 적용가능하다.
리소그래피 장치 제어 유닛(LACU)은 다양한 액츄에이터 및 센서의 모든 이동 및 측정을 제어하여, 기판(W) 및 레티클(MA)을 수용하고 패터닝 동작을 구현한다. . LACU는 장치의 동작과 관련된 원하는 계산을 구현하는 신호 처리와 데이터 처리 능력을 더 포함한다. 실무상, 제어 유닛(LACU)은, 이러한 장치 내의 서브시스템 또는 컴포넌트의 실시간 데이터 획득, 처리 및 제어를 각각 처리하는 많은 서브유닛들의 시스템으로서 구현될 것이다.
패턴이 노광 스테이션(EXP)에서 기판에 적용되기 전에, 기판은 측정 스테이션(MEA)에서 처리되어 다양한 준비 단계들이 수행될 수 있게 한다. 준비 단계는 레벨 센서를 사용하여 기판의 표면 높이를 매핑하는 것과 정렬 센서를 사용하여 기판 상의 정렬 마크의 위치를 측정하는 것을 포함할 수도 있다. 공칭적으로, 정렬 마크는 규칙적인 그리드 패턴으로 배치된다. 그러나, 마크를 생성할 때 생기는 부정확성과 처리되는 동안 발생하는 기판의 변형 때문에, 마크들은 이상적인 그리드로부터 벗어나게 된다. 결과적으로, 이러한 장치가 제품 피쳐를 매우 높은 정확도로 올바른 위치에 인쇄하려면, 기판의 위치 및 배향을 측정하는 것에 추가하여, 실무상 정렬 센서는 기판 면적에 걸쳐 많은 마크의 위치를 자세하게 측정해야 한다. 이러한 장치는 두 개의 기판 테이블을 가지는 소위 이중 스테이지 타입일 수 있고, 각 테이블에는 제어 유닛(LACU)에 의해 제어되는 위치설정 시스템이 있다. 하나의 기판 테이블에 있는 하나의 기판이 노광 스테이션(EXP)에서 노광되는 동안, 다른 기판은 측정 스테이션(MEA)에 있는 다른 기판 테이블에 로딩될 수 있어서, 다양한 준비 단계들이 수행될 수 있다. 그러므로, 정렬 마크를 측정하는 것은 시간이 많이 걸리는 작업이고, 두 개의 기판 테이블을 제공하면 장치의 쓰루풋이 크게 증가하게 될 수 있다. 기판 테이블이 측정 스테이션과 노광 스테이션에 있는 동안 기판 테이블의 위치를 위치 센서(IF)가 측정할 수 없다면, 이러한 스테이션 양자 모두에서의 기판 테이블의 위치를 측정할 수 있도록 제 2 위치 센서가 제공될 수 있다. 예를 들어, 리소그래피 장치(LA)는 두 개의 기판 테이블과 그들 사이에서 기판 테이블이 교환될 수 있는 두 개의 스테이션 - 노광 스테이션 및 측정 스테이션 - 을 가지는, 소위 듀얼 스테이지 타입일 수 있다.
생산 설비 내에서, 장치(200)는, 이러한 장치(200)에 의한 패터닝을 위해서 감광성 레지스트 및 다른 코팅을 기판(W)에 적용시키기 위한 코팅 장치(208)를 역시 포함하는 "리소 셀" 또는 "리소 클러스터"의 일부를 형성한다. 장치(200)의 출력측에는, 노광된 패턴을 물리적 레지스트 패턴으로 현상하기 위해서 베이킹 장치(210) 및 현상 장치(212)가 제공된다. 이러한 장치들 모두 사이에서, 기판 핸들링 시스템은 기판을 지지하고 이들을 장치의 일부에서 다른 부분으로 전달하는 것을 담당한다. 통칭하여 트랙으로도 지칭되는 이들 장치는 감독 제어 시스템(supervisory control system; SCS)에 의해 제어되는 트랙 제어 유닛의 제어 하에 있게 되며, 감독 제어 시스템은 또한 리소그래피 장치 제어 유닛(LACU)을 통해 리소그래피 장치를 제어한다. 그러므로, 처리량 및 처리 효율을 최대화하기 위해 상이한 장치가 작동될 수 있다. 감독 제어 시스템(SCS)은 각각의 패터닝된 기판을 생성하기 위해 수행될 단계들의 정의를 상세히 제공하는 레시피 정보(R)를 수신한다.
리소셀 내에서 패턴이 적용되고 현상되면, 패터닝된 기판(220)은 222, 224, 226에 예시되는 것과 같은 다른 처리 장치로 전달된다. 통상적인 제조 설비 내에는 다양한 장치에 의해 광범위한 처리 단계들이 구현된다. 예시를 위하여, 이러한 실시예에서 장치(222)는 에칭 스테이션이고, 및 장치(224)는 에칭후 어닐링 단계를 수행한다. 더 나아가 물리적 및/또는 화학적 처리 단계들이 추가적인 장치(226 등) 내에 적용된다. 재료의 증착, 표면 재료 특성의 수정(산화, 도핑, 이온 주입 등), 화학적-기계적 연마(CMP) 등과 같은 여러 타입의 동작들이 실제 디바이스를 제작하기 위해 요구될 수 있다. 실무상, 장치(226)는 하나 이상의 장치에서 수행되는 일련의 상이한 프로세스 단계를 나타낸다. 다른 예로서, 리소그래피 장치에 의해 도포된 전조 패턴에 기초하여 다수의 더 작은 피쳐를 생성하기 위한, 자기-정렬 다중 패터닝을 구현하기 위한 장치 및 처리 단계가 제공될 수 있다.
잘 알려진 바와 같이, 반도체 디바이스를 제작하려면, 적합한 재료 및 패턴을 가지는 디바이스 구조체를 기판 위에 층별로 쌓아올리기 위해 이러한 처리들의 반복이 수반된다. 이에 따라, 리소 클러스터에 도달하는 기판(230)은 새롭게 준비된 기판일 수도 있고, 또는 기판은 이러한 클러스터 또는 완전히 다른 장치에서 이전에 처리된 기판일 수도 있다. 이와 유사하게, 요구되는 처리에 따라서, 장치(226)를 떠나는 기판(232)은 동일한 리소 클러스터 내에서의 후속 패터닝 동작을 위해 반환될 수 있거나, 상이한 클러스터 내에서의 패터닝 동작을 위해 보내질 수 있거나, 다이싱 및 패키징을 위해 보내져야 할 마감된 제품일 수도 있다.
제품 구조체의 각각의 층은 상이한 세트의 프로세스 단계를 요구하고, 각각의 층에서 사용되는 장치(226)는 타입이 완전히 다를 수도 있다. 더 나아가, 장치(226)에 의해 적용될 처리 단계들이 공칭적으로 동일한 경우에도, 큰 설비 내에서는 단계(226)를 상이한 기판들에서 수행하도록 병렬로 작동하는 동일하다고 가정되는 여러 머신들이 존재할 수 있다. 셋업에서의 작은 차이 또는 이러한 머신들 사이에서의 고장은, 이들이 상이한 방식으로 상이한 기판에 영향을 준다는 것을 의미할 수 있다. 심지어, 에칭(장치(222))과 같이 각각의 층에 대해 상대적으로 공통인 단계들도, 공칭적으로 동일하지만 병렬적으로 작동하여 쓰루풋을 최대화하는 여러 에칭 장치들에 의해 구현될 수 있다. 더욱이, 실무적으로는, 다른 층들은 에칭될 재료의 세부사항과 예를 들어 이방성 에칭과 같은 특별한 요구 사항에 따라서 다른 에칭 프로세스, 예를 들어 화학적 에칭, 플라즈마 에칭을 요구한다.
선행 및/또는 후속 프로세스는 전술된 것처럼 다른 리소그래피 장치에서 수행될 수 있고, 심지어 상이한 타입의 리소그래피 장치에서 수행될 수도 있다. 예를 들어, 분해능 및 오버레이와 같은 파라미터에 대해서 엄격한 디바이스 제조 프로세스에서의 일부 층들은 덜 엄격한 다른 층들보다 더 발전된 리소그래피 툴에서 수행될 수 있다. 그러므로 일부 층들은 침지 타입 리소그래피 툴에서 노광될 수 있는 반면에, 다른 층들은 '건식(dry)' 툴에서 노광된다. 일부 층들은 DUV 파장에서 동작하는 툴 안에서 노광될 수 있는 반면에, 다른 층들은 EUV 파장 방사선을 사용하여 노광된다.
리소그래피 장치에 의해 노광되는 기판이 정확하고 일정하게 노광되도록 하기 위해서는, 노광된 기판을 검사하여 후속 층들 사이의 오버레이 에러, 라인 두께, 임계 치수(CD) 등과 같은 특성을 측정하는 것이 바람직할 수 있다. 따라서, 리소셀(LC)이 그 안에 위치되는 제조 설비는, 리소셀 내에서 처리된 기판(W)의 일부 또는 전부를 수납하는 계측 시스템을 더 포함한다. 계측 결과는 감독 제어 시스템(SCS)으로 직접적으로 또는 간접적으로 제공된다. 오차가 검출되는 경우, 특히 동일 배치(batch)의 다른 기판이 여전히 노광되기에 충분한 정도로 계측이 곧바로 신속하게 행해질 수 있으면, 후속 기판의 노광에 대한 조정이 이루어질 수 있다. 또한, 이미 노광된 기판들은 스트리핑되고 재작업(rework) 되며 - 수율을 개선하기 위하여 - 또는 폐기되어, 이를 통하여 오류가 있는 것으로 알려진 기판에 다른 처리를 수행하는 것을 회피할 수도 있다. 기판의 일부 타겟부에만 오류가 있는 경우, 양호한 것으로 간주되는 타겟부에만 추가의 노광이 수행될 수 있다.
도 1에는 제조 프로세스의 원하는 스테이지에서 제품의 파라미터를 측정하기 위해 제공되는 계측 장치(240)도 역시 도시된다. 현대의 리소그래피 생산 설비 내에 있는 계측 스테이션의 공통적인 예는, 예를 들어 암시야 산란계, 각도-분해된 산란계 또는 분광식 산란계인데, 이것은 장치(222)에서의 에칭 이전에 220에서 현상된 기판의 특성을 측정하기 위해 적용될 수 있다. 계측 장치(240)를 사용하면, 예를 들어 오버레이 또는 임계 치수(CD)와 같은 중요한 성능 파라미터가 현상된 레지스트 내의 규정된 정확도 요구 사항을 만족시키지 않는다는 것이 결정될 수 있다. 에칭 단계 이전에, 현상된 레지스트를 벗겨내고 리소 클러스터를 통해 기판(220)을 재처리할 기회가 있다. 장치(240)로부터의 계측 결과(242)는, 시간에 따라 미세하게 조절하는 감독 제어 시스템(SCS) 및/또는 제어 유닛(LACU)(206)에 의해서, 패터닝 동작의 정확한 성능을 유지하여 제품이 사양에 벗어나게 제작되거나 재작업해야 하는 위험을 최소화시키기 위해 사용될 수 있다.
또한, 계측 장치(240) 및/또는 다른 계측 장치(미도시)는 처리된 기판(232, 234), 및 인입하는 기판(230)의 특성을 측정하기 위해 적용될 수 있다. 계측 장치는 오버레이 또는 CD와 같은 중요한 파라미터를 결정하기 위하여, 처리된 기판 상에서 사용될 수 있다.
다양한 기법들이 기판 상의 패턴의 재현의 정확도를 개선하기 위하여 사용될 수 있다. 기판 상으로의 패턴의 정확한 재현은 IC의 생산에서의 유일한 관심 대상은 아니다. 다른 관심 대상은 수율인데, 이것은 일반적으로 디바이스 제조사 또는 디바이스 제조 프로세스가 하나의 기판마다 얼마나 많은 기능성 디바이스를 생산할 수 있는지를 측정한다. 수율을 향상시키기 위하여 다양한 접근법이 채용될 수 있다. 이러한 하나의 접근법은, 디바이스를 생성하는 것이(예를 들어, 디자인 레이아웃의 일부를 스캐너와 같은 리소그래피 장치를 사용하여 기판 상으로 이미징하는 것) 기판을 처리하는 동안, 예를 들어 디자인 레이아웃의 일부를 리소그래피 장치를 사용하여 기판 상에 이미징하는 동안, 처리 파라미터 중 적어도 하나의 섭동(perturbation)에 대해서 더 잘 견디게 하려고 시도한다. 중첩 프로세스 윈도우(overlapping process window; OPW)의 개념이 이러한 접근법을 위한 유용한 툴이다. 디바이스(예를 들어, IC)를 생산하는 것은, 이미징 전후 또는 이미징 중의 기판 측정, 기판의 로딩 또는 언로딩, 패터닝 디바이스의 로딩 또는 언로딩, 다이를 노광 전에 투영 광학기 아래에 위치시키는 것, 하나의 다이로부터 다른 다이로 스테핑하는 것 등과 같은 다른 단계를 포함할 수 있다. 더 나아가, 패터닝 디바이스 상의 다양한 패턴은 상이한 프로세스 윈도우(즉, 패턴이 사양 안에서 생설될 처리 파라미터의 공간)를 가질 수 있다. 잠재적으로 생길 수 있는 시스템적 결함에 관련된 패턴 사양의 예에는, 네킹(necking), 라인 풀백(line pull back), 라인 박형화, CD, 에지 배치, 중첩, 레지스트 상단 손실, 레지스트 언더컷 및/또는 브리징(bridging)에 대한 체크가 있다. 패터닝 디바이스 상의 패턴의 전부 또는 일부(보통, 특정 구역 내의 패턴)의 프로세스 윈도우는 각각의 개별 패턴의 (예를 들어, 중첩하는) 프로세스 윈도우를 병합함으로써 획득될 수 있다. 따라서, 이러한 패턴의 프로세스 윈도우는 중첩 프로세스 윈도우라고 불린다. OPW의 경계는 개별 피쳐 중 일부의 프로세스 윈도우의 경계를 포함할 수 있다. 다르게 말하면, 이러한 개별 패턴이 OPW를 한정한다. 이러한 개별 패턴은 "핫 스폿" 또는 "프로세스 윈도우 한정 패턴(process window limiting pattern; PWLP)"이라고 불릴 수 있고, 이들은 본 명세서에서 상호교환가능하도록 사용된다. 리소그래피 프로세스를 제어할 때, 핫 스폿에 포커싱하는 것이 가능하고 통상적으로 경제적이다. 핫 스폿에 결함이 없으면, 모든 패턴들이 무결함일 가능성이 있다. 처리 파라미터의 값이 OPW의 밖에 있는 경우에는 처리 파라미터의 값이 OPW에 더 가까울 때, 또는 처리 파라미터의 값이 OPW 내에 있는 경우에는 처리 파라미터의 값이 OPW의 경계로부터 더 멀리 있을 때, 이미징은 섭동에 대해 더 관대해진다.
도 2는 처리 파라미터(250)의 예시적인 소스를 보여준다. 하나의 소스는 처리 장치의 데이터(210), 예컨대 리소그래피 장치의 소스, 투영 광학기, 기판 스테이지 등, 그리고 트랙 등의 파라미터일 수 있다. 다른 소스는 다양한 기판 계측 툴로부터의 데이터(220), 예컨대 기판 높이 맵, 초점 맵, 임계 치수 균일성(critical dimension uniformity; CDU) 맵 등일 수 있다. 데이터(220)는, 적용가능한 기판이 기판의 재작업을 방지하는 단계(예를 들어, 현상)에 노출되기 전에 획득될 수 있다. 다른 소스는, 하나 이상의 패터닝 디바이스 계측 툴, 패터닝 디바이스 CDU 맵, 패터닝 디바이스(예를 들어, 마스크) 막 적층 파라미터 변동 등으로부터의 데이터(230)일 수 있다. 또 다른 소스는 처리 장치의 운영자로부터의 데이터(240)일 수 있다.
리소그래피 프로세스의 제어는 통상적으로, 피드백되거나 피드포워드된 후, 예를 들어 필드간(기판에 걸친 지문) 또는 필드내(필드에 걸친 지문) 모델을 사용하여 모델링된 측정에 기반한다. 한 다이 내에는, 메모리 구역, 로직 구역, 콘택 구역 등과 같은 별개의 기능성 구역이 존재할 수 있다. 각각의 상이한 기능성 구역, 또는 상이한 기능성 구역 타입은, 각각 상이한 프로세스 윈도우 중심을 가지는 상이한 프로세스 윈도우를 가질 수 있다. 예를 들어, 상이한 기능성 구역 타입은 상이한 높이, 및 따라서 상이한 최선 초점 설정을 가질 수 있다. 또한, 상이한 기능성 구역 타입은 상이한 구조체 복잡성, 및 따라서 각각의 최선 초점 주위의 상이한 초점 공차(초점 프로세스 윈도우)를 가질 수 있다. 그러나, 이러한 상이한 기능성 구역 각각은 통상적으로, 제어 그리드 분해능 제한사항에 기인하여 동일한 초점(또는 선량 또는 위치 등) 설정을 사용하여 형성될 것이다.
리소그래피 제어는 통상적으로, (예를 들어) 이전에 형성된 구조체의 측정에 기반하여, 하나 이상의 특정 제어 자유도에 대한 하나 이상의 세트-포인트 정정의 오프라인 계산을 사용하여 수행된다. 세트-포인트 정정은 특정 프로세스 파라미터에 대한 정정을 포함할 수 있고, 측정된 프로세스 파라미터가 사양 내에(예를 들어, 최선 세트포인트 또는 최선 값에 대한 허용된 변동; 예를 들어, OPW 또는 프로세스 윈도우 내에) 남아 있도록, 임의의 드리프트 또는 오차를 보상하기 위한 특정 자유도의 설정의 정정을 포함할 수 있다. 예를 들어, 중요한 프로세스 파라미터는 초점이고, 초점 오차는 기판 상에 형성되는 중의 결함있는 구조체에서 명백히 드러날 수 있다. 통상적인 초점 제어 루프에서는, 초점 피드백 방법론이 사용될 수 있다. 이러한 방법론은, 형성된 구조체 상에서 사용되는 초점 설정을 측정할 수 있는 계측 단계를 포함할 수 있다; 예를 들어, 계측 단계는 초점 의존적 비대칭을 가지는 타겟이 형성되어 초점 설정이 타겟 상의 비대칭의 측정으로부터 후속하여 결정될 수 있게 되는 회절 기반 초점(diffraction based focus; DBF) 기법을 사용한다. 그러면, 측정된 초점 설정이, 리소그래피 프로세스에 대한 정정, 예를 들어 초점 오프셋(디포커스)을 정정하는, 레티클 스테이지 또는 기판 스테이지 중 하나 또는 양자 모두에 대한 위치 정정을 오프라인으로 결정하기 위하여 사용될 수 있다. 이러한 오프라인 위치 정정은 이제, 스캐너에 의해 직접적으로 작동되도록, 세트-포인트 최선 초점 정정으로서 스캐너에 전달될 수 있다. 측정들은, 평균(로트들의 평균) 최선 초점 정정이 하나 이상의 후속 로트의 각각의 기판에 적용되면서, 여러 로트에 걸쳐 획득될 수 있다. 오버레이 오차를 제어 및 최소화하기 위하여 유사한 제어 루프가 다른 두 개의 차원(기판 평면)에서 사용된다.
도 3은 이러한 방법론을 예시한다. 이것은, 최적화 알고리즘(320)을 수행하는 오프라인 처리 디바이스(315)에 공급되는, 제품 레이아웃, 조명 모드, 제품 마이크로-토포그래피 등과 같은 제품 정보(305), 및 계측 데이터(310)(예를 들어, 이전에 생산된 기판으로부터 측정된 디포커스 데이터 또는 오버레이 데이터)를 보여준다. 최적화 알고리즘(320)의 출력은, 예를 들어 스캐너(335) 내에서 레티클 스테이지 및/또는 기판 스테이지 위치설정(임의의 방향으로, 즉, x, y 및/또는 z 방향으로의 위치설정이며, x 및 y는 기판 평면 방향이고 z는 x 및 y에 수직임)을 제어하는 액츄에이터에 대한 하나 이상의 세트-포인트 정정/오프셋(325)이고; 세트-포인트 정정(325)은 계측 데이터(310) 내에 포함된 임의의 오프셋/오차(예를 들어, 디포커스, 선량 또는 오버레이 오프셋/오차)를 보상하도록 계산된다. 제어 알고리즘(340)(예를 들어, 레벨링 알고리즘)은 기판-특유 계측 데이터(350)를 사용하여 제어 세트-포인트(345)를 계산한다. 예를 들어, 레벨링 노광 궤적(예를 들어, 리소그래피 프로세스 중에 레티클 스테이지에 상대적인 기판 스테이지의 위치설정에 대한 상대 운동 또는 가속도 프로파일을 결정하는 것)은 레벨링 데이터(예를 들어, 웨이퍼 높이 맵)를 사용하여 계산될 수 있고, 스캐너 액츄에이터에 대한 위치 세트-포인트(345)를 출력한다. 스캐너(335)는 각각의 기판에 동일하게, 계산된 세트-포인트(345)에 세트-포인트 정정(325)을 직접 적용한다. 다른 제어 장치에서, 최적화는 웨이퍼별로 최적화된 정정을 제공하기 위하여 스캐너 내에서 수행될 수 있다(웨이퍼-웨이퍼 제어).
최적화 알고리즘(예를 들어, 오프라인 처리 디바이스 및/또는 스캐너 내에서 수행될 때)은 각각의 제어 레짐마다 하나씩인 여러 상이한 메리트 함수에 기반할 수 있다. 이와 같이, 전술된 예에서, 레벨링(또는 초점) 메리트 함수는 초점 제어(스캐너 z 방향 제어)를 위하여 사용되는데, 이것은 오버레이(스캐너 x/y 방향 제어) 메리트 함수, 렌즈 수차 정정 메리트 함수 등과 다르다. 다른 실시형태들에서, 제어는 이러한 제어 레짐 중 하나 이상에 대해서 공동으로 최적화될 수 있다.
최적화되고 있는 제어 레짐 및 제어 양태와 무관하게, 현존하는 최적화 방법은 최소제곱(예를 들어, 제곱-평균-제곱근(RMS)) 회귀 기반 최적화 또는 유사한 이러한 회귀에 흔히 의존한다. 이러한 방법은, 비록 일부 측정치들이 다른 것보다 노이즈 및 정정불가능 오차를 더 많이 겪지만, 모든 측정치에 동등한 중요도가 제공되는 결과를 가져온다. 더 중요하게는, 현존하는 방법은 작은 오버레이를 가지는 다이를 정정하려고 시도할 수 있고, 따라서 잠재적으로 그렇지 않으면 경계적으로 수율에 기여할 다이를 사양에 맞지 않다고 제외시키는 비용과 함께, 어떻게든 수율에 기여할 것이다. 모든 측정치들이 동일한 가중치를 가지는 경우, 추정기는 모든 위치에서의 오차를 감소시키기 위해서 모든 측정치들 사이의 절충을 찾으려고 시도한다. 이것은 쉽게 수율에 기여하는 포인트들 조차도 푸시 다운된다는 것을 의미하고, 이것은 다른 다이들을 사양에 맞지 않도록 밀어낼 수 있다. 이러한 방법은 유잡음 데이터에 민감하고, 측정 포인트들이 부족하다. 또한, 이러한 방법은 지문에 대해서 매우 높은 값을 추정할 수 있고, 이것은 최적화 과정에서 추후에 추가적인 이점이 없이 액츄에이터 잠재능력(작동 범위)을 낭비할 수 있다. 추정된 지문 파라미터가 커질수록, 최적화 중에 액츄에이터 능력의 한계에 도달할 위험이 커진다는 것에 주의한다.
이러한 RMS 타입 회귀 방법은 과다 근사화 또는 과소 근사화하는 경향을 가지고, 근사화의 레벨에 대해서는 직접적인 제어 방법이 존재하지 않는다. 과다 근사화의 경우에, 계산된 지문은 실제 값을 초과하고, 이것은 큰 문제가 될 수 있다. 모델의 복잡도를 감소시킴으로써 과다 근사화를 예측하고 방지하기 위하여, 정규화된 모델 불확실성(Normalized model uncertaint; nMU)이 투영 비율과 함께 사용될 수 있다; 그러나 이러한 방법은 모델의 선택을 제한한다. 예를 들어, 3차 모델이 오직 두 개의 데이터 포인트에 근사화될 수 없다는 등이 공통된 지식이다. 그러나, 이것은 근사화 문제에 다른 제약 또는 비용 함수를 추가함으로써 가능해질 수 있다. 머신 러닝에서의 정칙화(regularization)라고 불리는 이러한 실례는 확률적 의미로 하부 샘플외(out-of-sample) 오차를 가지는 모델을 근사화하는 것을 도울 수 있다.
이러한 이슈를 해결하기 위하여, 최적화의 추정 부분에서 최소제곱 근사화 대신에 서포트 벡터 머신(support vector machines; SVM) 회귀 기법의 수정된 버전을 사용하는 것이 제안된다. 이러한 최적화 기법은 현존하는 최소제곱 방법과 비교할 때 상이한 비용 함수 및 상이한 세트의 제약을 사용할 것이다.
이와 같이, 본 명세서에는 리소그래피 프로세스에서 제품 구조체를 기판에 제공하도록 구성되는 리소그래피 장치를 제어하기 위한 방법이 개시되는데, 이러한 방법은 기판에 관련된 계측 데이터를 획득하는 단계; 및 리소그래피 장치에 대한 제어 메리트 함수를 상기 계측 데이터에 기반하여 최적화하는 단계를 포함하고, 상기 최적화하는 단계는, 상기 제어 메리트 함수에 대해 서포트 벡터 머신 회귀를 수행하는 것을 포함한다.
이러한 방법의 목적들은, 다음이 이루어지도록 지문을 결정하는 것을 포함한다:
- 지문이 유잡음 데이터에 대하여 견실하다.
- 지문이 더 적거나 성긴 계측 데이터를 편안하게 처리할 수 있다. 그러면 계측 부하가 감소되고 쓰루풋이 증가될 수 있다.
- 액츄에이터 범위가 낭비되지 않도록 지문이 가능한 작아야 한다(하지만 더 작아서는 안 됨). 그러면 다른 정정을 위한 버짓을 비울 수 있다.
- 과다 근사화가 가능하지 않다: 샘플외 오차를 샘플내(in-sample) 오차에 가능한 가깝게 유지하기 위하여, 머신 러닝 기법(SVM을 포함함)은 샘플링에 대해서 가능한 최소의 분산을 가지는 모델을 획득하려고 시도한다. 이것은 마진 최대화 및 정칙화를 통하여 수행된다. 이러한 기법은 비-측정된 위치에서 작은 오차를 통계적으로 가질 것이다. 대조적으로 최소제곱 방법은 샘플내 오차(측정된 포인트)에 대한 오차만을 최소화한다.
- 추정된 지문 모델이 측정된 데이터를 충분히 양호하게 기술한다.
SVM 회귀 방법은 본질적으로 오버레이 값이 작은 경우에는 희생/절충하고, (예를 들어, 임계
Figure pct00001
내에서), 더 큰 오차를 가지는 다이(예를 들어, 그렇지 않으면 거의 수율에 기여하는(yielding) 다이였을 다이)를 정정하기 위하여 해당 자유(freedom)를 사용함으로써 동작한다. 좀 더 구체적으로는, SVM 회귀 방법은 훈련 데이터 모두에 대해서 공지된 값(예를 들어, 훈련 데이터)으로부터 최대
Figure pct00002
편차를 가지고, 동시에 가능한 한 평평한(비-복잡(non-complex)) 함수 f(x)를 찾으려고 시도한다. 다르게 말하면, 오차는
Figure pct00003
보다 작다면 허용되고 무시된다. 이것보다 큰 편차는 기본적인 SVM 회귀에서는 용인된다; 그러나, 실제 상황에서는 결과적으로 얻어지는 최적화 문제가 통상적으로는 실현가능하지 않을 것이다. 이것을 해결하기 위하여, 부가 변수(slack variable)
Figure pct00004
가 이상치를 수용하도록 사용될 수 있다.
도 4가 SVM 회귀를 개념적으로 예시한다. 도 4는 오버레이 그래프(예를 들어, 웨이퍼 위치 좌표에 대한 오버레이 성분(예를 들어, dx 또는 dy)의 그래프)이고, 도면 상의 각각의 포인트는 오버레이 오차 값을 나타낸다. 이것은 쉽게 표현하기 위한 2D 그래프일 뿐이고, 실제 오버레이 모델링에서는 dx 및 dy 오버레이 성분 양자 모두가 x 및 y의 함수로서 모델링될 것이라는 것에 주의한다. 파라미터
Figure pct00005
은 허용가능한 마진 또는 오버레이 오차를 규정하고, 사용자에 의하여 선택될 수 있다. 쇄선 HP 내의 백색 포인트(마진
Figure pct00006
에 의해서 규정되는 초평면(hyperplane)의 범위(extent)를 나타냄)), 즉,
Figure pct00007
보다 작은 크기를 가지는 포인트들은 비용에 기여하지 않는다. 다르게 말하면, 이러한 값은 SVM 회귀를 수행할 때에 본질적으로 무시된다; 이들은 충분히 양호하고 따라서 임의의 정정을 요구하지 않는 오버레이를 나타내는 것으로 여겨진다. 회색 포인트는 초평면에 가장 가까운 포인트이다; 이들은 서포트 벡터 포인트라고 불린다. 서포트 벡터 포인트는 SVM 회귀(실선)(SVM)을 결정하는 기저 함수이다. 흑색 포인트는 이상치 또는 오차 서포트 벡터이다. 부가 변수는, 쇄선으로부터의 그들의 거리가 최소화되도록(예를 들어, 제 1 놈) 이들을 다루기 위하여 사용된다. 이러한 방식으로, SVM 회귀에 의해서 생성된 모델(SVM)은 훈련 데이터의 서브세트에만 의존하게 되는데, 그 이유는 모델을 구축하기 위한 비용 함수가 모델 예측에 가까운(임계
Figure pct00008
이내) 임의의 훈련 데이터를 무시하기 때문이다. 대조적으로, 동일한 데이터 포인트로의 최소제곱 근사화(LS)도 표시되는데(일점쇄선), 이것은 과다 근사화의 부호를 나타낸다(과다하게 복잡함).
최소제곱 회귀 및 SVM 회귀 사이의 차분의 고도로 단순화된 수학적 기술이 이제 설명될 것이다. 비록 이러한 예가 오버레이를 직접적인 사용 사례로서 사용하지만, 이러한 방법론은 절대로 오버레이 지문을 추정하기 위해서 특정되지 않는다. 본 명세서에 개시된 SVM 회귀 기법은 초점, 임계 치수(CD), 정렬, 에지 배치 오차 등과 같은 임의의 파라미터의 지문 추정 및/또는 리소그래피 프로세스 제어 내에 포함되는 임의의 최적화를 위해서도 마찬가지로 적합하다.
최소제곱 및 SVM 회귀 케이스 양자 모두에 대하여, 모델은 다음과 같이 진술될 수 있다:
Figure pct00009
여기에서
Figure pct00010
는 측정 그리드 상에서 오버레이(또는 다른 파라미터) 모델을 평가함으로써 생성된 소위 "디자인 매트릭스(Design Matrix)"이다; 항
Figure pct00011
는 소위 "모델 파라미터"이고, 지문 파라미터: 예를 들어 통상적인 6 파라미터 모델(x/y 병진 파라미터: Tx, Ty, 대칭/비대칭 확대 파라미터: Ms, Ma, 대칭/비대칭 회전 파라미터: Rs, Ra)의 또는 지문을 모델링하기 위한 임의의 다른 적절한 모델의 "k-파라미터" 또는 파라미터를 포함하는 벡터이다; 및 항
Figure pct00012
는 x 및 y 방향 양자 모두로의 모든 측정된 오버레이 값을 포함하는 벡터(즉, 계측 데이터)이다. 최소제곱 회귀 최적화의 목표는 최소화
Figure pct00013
를 최소화하는 모델 파라미터
Figure pct00014
를 찾는 것이다; 즉, 최소제곱 방법은 수학식
Figure pct00015
에서의 오차의 2-놈을 최소화한다:
Figure pct00016
여기에서
Figure pct00017
는 2-놈 연산자이다. 공간 좌표를 나타내는 비-이탤릭체인 "x"에 반하여, 이탤릭체인 "x"가 명세서 전체에서 모델 파라미터 항을 나타내도록 사용될 것이라는 점에 주의한다.
대조적으로, SVM 회귀 기법에서는, 최적화가 모든 측정치들이 해당 모델에 의해서 "충분히 설명된다(sufficiently explained)"는 것은 제약에 노출되는 지문 파라미터의 "복잡도(complexity)"를 최소화시키는 것을 목적으로 한다.
지문 파라미터의 복잡도는 임의의 0차 파라미터(예를 들어, 예컨대 오버레이 모델 내의 병진 파라미터 Tx 및 Ty)를 제외한 파라미터 값을 보유한 벡터의 2-놈으로서 규정될 수 있다. 콘텍스트에서 복잡도의 개념을 더 잘 이해하기 위해서, 머신 러닝으로부터의 후속하는 개념이 이해되어야 한다:
- 일반화: 어떤 모델이 어떤 데이터 세트로 근사화되어야 한다고 가정한다. 데이터의 제 1 비율(예를 들어, 첫번째 절반)은 당신의 모델을 훈련(근사화)시키기 위하여 사용되고, 데이터의 제 2 비율(예를 들어, 두번째 절반)은 훈련된 모델을 인증하기 위하여 사용된다. 데이터의 제 1 비율은 통상적으로 샘플내(in-sample) 데이터라고 불리고, 데이터의 제 2 비율은 통상적으로 샘플외(out-of-sample) 데이터라고 불린다. 샘플내 오차 및 샘플외 오차 사이의 비율은 모델의 일반성(generalizability)의 척도이다; 즉, 해당 모델이 근사화 프로세스에서 사용되지 않았던(고려되지 않았던) 샘플외 데이터를 나타내는 데 있어서 얼마나 성공적인지의 척도이다.
- VC-차원: 바프닉-체르보넨키스(Vapnik-Chervonenkis; VC) 차원은 모델의 복잡도의 척도이다. 신경망에서는 VC 차원이 일반적으로 이분법(dichotomies)을 사용하여 측정된다. 일반적으로: VC 차원이 낮으면, 근사화가 더 일반화될 수 있다. 예를 들어: 총 세 개의 파라미터를 포함하는 1 차원 데이터에 대한 2차 모델은 동일한 데이터에 대하여 근사화된 총 네 개의 파라미터를 가지는 3차 모델보다 더 양호하게 일반화될 수 있다(이러한 경우에서 파라미터의 개수는 VC 차원과 같음). 반면에 파라미터의 개수가 측정의 개수를 초과해서는 안된다고 공통적으로 진술되지만, 이것은 일반적으로 참이 아니라는 것이 이해되어야 한다. 실제로 측정의 개수보다 적어야 하는 것은 VC 차원이다(파라미터가 아님). 파라미터의 개수는 반드시 VC 차원과 같아야 하는 것은 아니다. 예를 들어, 10 개의 측정을 포함하는 데이터가 있는 1000 파라미터 모델을 근사화하는 것이 가능하다; 그러나, VC 차원으로 규정되는 근사화의 복잡도는 10보다 높아서는 안 된다.
주어진 데이터 세트에 대하여 완전 무한 차원 모델을 근사화하는 것도 여전히 가능하다;
Figure pct00018
와 같은 비선형 모델을 근사화하는 데에 있어서의 공통 실무는 커널 함수를 사용하는 것에 의한다. 이러한 기법에 의하여, 모델 자체가 무한 개의 파라미터를 가지지만 VC 차원을 낮게 유지하는 것이 가능하며, 이것은 샘플외 오차가 낮게 유지될 수 있다는 것을 의미한다.
샘플외 오차를 샘플내 오차에 가깝게 유지시키는 것은 정칙화 기법을 사용하여 획득될 수 있다. 정칙화(regularization)는 VC 차원을 낮게 유지하고 과다 근사화의 위험을 피하기 위하여, 복잡하거나 탄력적인 모델의 학습(또는 근사화)을 줄이는 기법이다(즉, 더 간단한 모델을 선호함).
모델의 VC 차원은 0차 항(즉, 바이어스)을 제외한 파라미터 값의 2-놈에 대한 최적화에 기반하여 최소화될 수 있다. 오버레이의 일 예의 경우, 이것은 선형 병진 파라미터(Tx 및 Ty)를 제외한 모든 파라미터 값을 최소화하는 것을 의미한다. 이후로는, 오버레이 모델이 매우 많은 개수의 파라미터를 가지는 경우에도 VC 차원이 일반화되기에 충분하게 낮아지도록, 이러한 최적화에 의해서 왜 감소되는지가 명백해질 것이다.
수학식을 간단하게 유지하기 위하여, 이러한 예에서는 오버레이 데이터 모델을 다음과 같이 쓸 수 있다고 가정한다:
Figure pct00019
여기에서
Figure pct00020
는 0차(병진 항)를 나타낸다. 그러면 낮은 복잡도에 대한 최적화 문제는 모델 파라미터의 1-놈 또는 2-놈의 최소화가 되며; 예를 들어 다음이 성립한다:
Figure pct00021
모든 측정이 이러한 모델에 의해서 충분히 설명된다는 기준에 노출된다.
Figure pct00022
가 본 명세서에서 설명되는 방법에서의 최소화를 위한 복잡도 메트릭의 오직 하나의 예라는 것에 주의한다. 다른 실시형태들에서, 가중된 놈이 최소화될 수 있고, 예를 들어 다음이 성립하는데:
Figure pct00023
여기에서 Q는 x인 임의의 양의-정치 정사각 매트릭스(Positive-Definite square matrix) 크기이다. Q는 특정 모델 파라미터를 사용하는 비용에 대한 정보를 포함할 수 있다. 예를 들어, 제 1 파라미터 p1을 사용하는 것이 바람직하지 않지만 그 대신에 제 2 파라미터 p2를 사용하여 이것을 보상하는 것(가능한 많이)이 바람직하면, 높은 가중치가 파라미터 p2에 관련된 Q 요소에 대하여 파라미터 p1에 관련된 Q 요소에 제공되어, 추정기가 파라미터 p2보다 파라미터 p1을 사용할 가능성이 낮아지게 할 수 있다. Q는 Q 매트릭스의 대각외(off-diagonal) 원소를 사용하여 파라미터의 쌍 또는 세트에 사용에 상대적인 비용을 지정하기 위하여 사용될 수 있다.
이러한 기준은, 각각의 그리고 모든 측정 j에 대하여:
Figure pct00024
라는 것을 의미하는데
여기에서
Figure pct00025
는 절대값을 나타낸다. 이러한 제약은, 측정된 모든 오버레이 값이
Figure pct00026
보다 양호한 정확도로 이러한 모델에 의해서 충분히 설명된다는 것을 진술한다.
그러나, 이상치 및 잔차는 거의 필연적이다. 그러므로, 이러한 이상치가 수용되지만, 동시에 페널티가 주어진다. 이것은 부가 변수를 제공함으로써 수행될 수 있고, 이것을 사용하여 최적화 문제를 다음과 같이 쓸 수 있다:
Figure pct00027
이것은 다음에 노출되는데:
Figure pct00028
Figure pct00029
Figure pct00030
여기에서
Figure pct00031
Figure pct00032
는 이상치를 허용하는 상부 및 하부 부가 변수이고,
Figure pct00033
는 이상치 페널티 계수(outlier penalization coefficient)이며, "복잡도 계수(complexity coefficient)"라고도 불린다. 상수 C(>0)는 근사화의 평평도(복잡도) 및
Figure pct00034
보다 큰 편차가 이상치에 페널티를 부여함으로써 용인되는 정도 사이의 트레이드-오프를 결정한다. 복잡도 계수가 높아질수록, 모델은 복잡한 모델을 더 자유롭게 선택하고, 샘플내 데이터를 더 양호하게 표현한다. 하나의 극단에서,
Figure pct00035
매트릭스를 생성하도록 사용되는 오버레이 모델과 무관하게, C=0이라면 솔루션은 단순하게 오직 0차 병진이 될 것이다. 다른 극단에서는, C가 무한대라는 것이 최대 오차가 복잡도와 무관하게 특정 값보다 작게 언제나 유지된다는 것을 의미한다; 예를 들어,
Figure pct00036
놈(절대값 최대) 최적화 (
Figure pct00037
)와 유사하다.
최적화는 측정된 모든 데이터가 (예를 들어, 사용자 정의된) 마진
Figure pct00038
보다 작은 정확도 내에서 모델에 의해 표현되거나; 그렇지 않으면, 이것이 불가능하 경우에는 해당 솔루션이 결과적으로 너무 복잡해지지 않는다면 그들의 오차 (
Figure pct00039
)가 최소값으로 유지되어야 하도록, 복잡도 계수
Figure pct00040
, 마진
Figure pct00041
및 부가 변수
Figure pct00042
를 결정해야 한다.
이러한 최적화 문제를 2차 프로그래밍 최적화로 변환하기 위하여, 라그랑지 승수의 방법이 채용될 수 있다. 이러한 방법은 제약된 문제를 제약되지 않은 문제의 파생 테스트가 여전히 적용될 수 있도록 하는 형태로 변환한다. 등식 제약을 역시 만족시키는 함수의 임의의 정지된 포인트에서, 해당 포인트에서의 함수의 그레디언트는 해당 포인트에서의 제약들의 그레디언트의 선형 조합으로서 표현될 수 있고, 라그랑지 승수가 계수로서의 역할을 한다. 함수의 그레디언트 및 제약의 그레디언트 사이의 관계가 라그랑지 함수라고 알려져 있는 원래의 문제의 재공식화를 초래한다. 이와 같이, 라그랑지 승수
Figure pct00043
가 규정될 수 있고, 라그랑지 함수 L은 다음과 같이 기록된다:
Figure pct00044
Figure pct00045
Figure pct00046
이러한 라그랑지 함수 L은 수반 공식화(adjoint formulation)에서의 간단한 2차 프로그래밍으로 간단히 변환될 수 있고, 여기에서 데이터의 내적이 비용 함수를 형성하고
Figure pct00047
는 제약을 형성한다:
Figure pct00048
이것은 다음에 노출된다:
Figure pct00049
Figure pct00050
원본 모델 파라미터
Figure pct00051
는 디자인 매트릭스 및 획득된 최적 라그랑지 승수들의 선형 조합이다:
Figure pct00052
최적화 문제를 풀이한 이후에,
Figure pct00053
(즉, aj 및 aj *) 값들 대부분이 0이라는 것이 명백해질 것이다.
Figure pct00054
값 중 일부만이 0이 아닌 값을 포함한다. 0이 아닌
Figure pct00055
값들의 개수가 이러한 문제의 VC 차원이다. 이러한 이유 때문에, 전체 모델 파라미터를 일부 측정 포인트만의 선형 조합으로써 기록할 수 있다:
Figure pct00056
.
오버레이 모델이 매우 고차였다고 해도(예를 들어, 100 개의 파라미터의 차수여도), 오직 일부의(예를 들어, 6 개의)
Figure pct00057
값이 0이 아니라면, 모델의 복잡도(VC 차원)는 6이 되고, 이러한 모델은 6 파라미터('6par') 모델로서 일반화될 수 있는 것과 같다. 그러나 샘플내 및 샘플외 오차 양자 모두는 100 파라미터 모델만큼 낮다.
0이 아닌
Figure pct00058
에 대응하고 지문 파라미터
Figure pct00059
에도 기여하는 데이터 값(매트릭스 A의 열)의 각각은 서포트 벡터라고 불리는데, 그 이유는 이들이 고차원 공간 내에서 초평면을 지지하는 벡터이기 때문이다(그러므로 서포트 벡터 머신이라는 명칭을 가짐). 이전의 문단의 특정한 예에서는, 6 개의 서포트 벡터가 존재하고, 이들 각각은 100 차원이며 100 차원 초평면을 함께 지지한다. 최적화되는 것이 오차도 아니고 파라미터도 아니며,
Figure pct00060
라는 것이 이해되어야 한다. 바이어스(또는 오버레이 케이스에 대해서는 병진 파라미터)는 최적화(예를 들어, KKT(Karush-Kuhn-Tucker) 조건을 사용함) 이후에 결정되는데, 이것은 데이터의 평균과 반드시 같아야 하는 것은 아니다.
요약하자면, 리소그래피 프로세스 최적화의 일부로서 파라미터 지문(예를 들어, 오버레이)을 근사화하기 위하여 SVM 회귀를 사용하는 것이 제안된다. SVM 회귀는 그 일반적 형태에서의 SVM이 1 차원 데이터만을 다룰 수 있는 반면에 그 2D 성질에 기인하여 그 현재 알려진 형태에서 지문 데이터에 직접적으로 적용될 수 없다. 그러므로, 본 명세서에서 설명되는 것은 2D 지문 데이터에 적용될 수 있는 SVM 기법의 수정된 버전이다.
도 5는 최소제곱 근사화(LSQ) 방법을 사용한 모델링과 비교된, 0.45nm의 타겟 마진
Figure pct00061
을 가지는 SVM 모델링의 결과의 일 예를 보여준다. 도 5의 (a) 및 도 5의 (b)는 샘플내 오차(즉, 측정 포인트에서의 모델링된 오차)의 누적 그래프를 각각 보여준다. y-축은 오버레이 값 OVdx, OVdy(도 5의 (a) 및 도 5의 (b) 각각)의 샘플내 오차 값 이하인 측정 포인트들의 누적된 개수를 보여준다(퍼센트로서). SVM이 타겟 마진
Figure pct00062
내에 있는 측정 포인트를 무시하기 때문에, SVM 모델링은 통상적으로 LSQ 방법을 사용한 모델링과 비교할 때 타겟 마진
Figure pct00063
아래의 샘플내 오차를 가지는 더 적은 수의 측정 포인트를 초래한다. 그러나, SVM 모델링은 통상적으로 타겟 마진에 걸쳐 있는 샘플내 오차를 가지는 다수의 측정 포인트(각각의 그래프에 대하여
Figure pct00064
에서의 샘플내 오차에 대응함)를 초래한다. 따라서, SVM 모델링은 LSQ 방법을 사용하는 모델링보다 더 양호한 모델링을 초래할 것으로 기대되고(즉, 타겟 마진 이하의 모델링된 오차를 가지는 더 많은 측정 포인트가 존재함), 그 이유는 SVM이 고-오차 포인트에서 이득을 얻기 위하여 저-오차 포인트에서 희생하기 때문이다. 그러므로 SVM은 작은 오차에 잠재적 정정 능력을 낭비하지 않고서 모든 잠재적 정정 능력을 더 큰 오차에 집중함으로써 수율을 개선할 수 있다.
오버레이 모델링(또는 다른 관심 파라미터에 대한 모델링)에서 일반적으로, 그리고 앞서 언급된 실시형태의 경우에, 지문 모델은 근사화 이전에 취해질 필요가 있다; 예를 들어, 제르니케, 정규 다항식 또는 임의의 다른 모델. 그러나, 정의에 따르면 모델 불일치가 존재하지 않는다는 것을 아는/보장하는 것이 불가능하다. 이것은 내재된 오버레이가 "가정된(assumed)" 오버레이 모델을 가지고 반드시 정확하게 모델링되는 것이 아니라는 것을 의미한다.
고정된 미리 규정된 지문 모델을 가지는 것은 이러한 가정에 맞는 특정한 샘플링 레이아웃을 요구한다. 예를 들어, 모델의 제 1 클래스에 대한 지문(예를 들어, 필드별 정정을 결정하는 노광별 정정(CPE) 지문)를, 예를 들어 모델의 제 2 클래스에 대해서만 적합한 성기게 샘플링된 오버레이 측정들을 가지고서 업데이트하는 것은 가능하지 않다. 미리 정의된 "가정된" 모델이 고정되면, 모델 그래뉼래러티가 단정지어진다(categorical). 예를 들어, 모델 클래스는 필드별 모델, 평균화 필드 모델, 스캔 업 스캔 다운(scan up scan down; SUSD) 의존 모델, 웨이퍼별, 척별, 또는 로트별 모델을 포함할 수 있다. 하지만 모델은 이러한 클래스들 중 부분적인 하나일 수는 없다; 예를 들어, 이것은 "필드별로 약간(slightly per-field)", "웨이퍼별로 약간(slightly per-wafer)" 등일 수 없다. 이러한 탄력성이 없는 접근법은 이상적이지 않다. 실수 오버레이는 머신 오버레이 및 프로세스 지문의 결과일 것이고, 이들은 반드시 모델 규정에 따르는 것이 아니다. 예를 들어, 레티클 열 유발 변동이 필드 사이에서 부분적으로 발생할 수 있다(필드간 성분); 그러나, 이들은 평균 필드에 부분적으로 걸쳐서도 생길 수 있다(필드내 성분). 척 1은 척 2와 다소 다를 수 있지만, 양자 모두의 척에 대한 렌즈 기여는 동일할 수 있는 등이 성립된다. 상이한 척들로부터의 이러한 척 기여는 상이한 그래뉼래러티를 가지는 모델을 사용하여 모델링될 수 있다. 그러나, 커널을 사용하면, 커널이 지문의 그래뉼래러티를 규정하지 않고서 레티클 열 및/또는 이러한 상이한 척 기여를 모델링할 수 있다.
이하 설명되는 실시형태들의 정수는, 근사화될 모델을 직접적으로 특정하는 것이 아니라 모델의 클래스를 추상적인 방식으로 규정하기 위한 커널의 사용이다. 이것에 후속하여, 형성된 커널 함수에 동시에 근사화하는 동안에, 최적 커널 함수가 해당 커널에 의해서 규정된 모델들의 클래스로부터 직접적으로 형성될 수 있다.
이러한 개념의 이면의 사상을 이해하기 위하여, 추정/모델링 태스크를 조심스럽게 검사하는 것이 중요하다. 오버레이/초점/CD(또는 다른 관심 파라미터)의 모델링에서의 기본적인 개념은:
- 측정된 오버레이/초점/CD 값이 (예를 들어 다항) 함수의 세트로 설명될 수 있다고 가정한다.
- 이러한 (예를 들어 다항) 함수의 계수를 오차 표시자를 최소화함으로써 계산한다.
예를 들어, 특정 모델 지문이 정규 다항식으로 기술될 수 있다는 것이 가정될 수 있다. 각각의 필드, 또는 웨이퍼, 또는 로트가 상이한 지문을 가지는 것으로 가정될 수 있다. 이러한 진술들 각각은 하나의 가정이다. 이러한 가정에 기반하여, 모델 내에서 가정되는 가중치 또는 "지문 파라미터"가 계산된다; 예를 들어, 측정 위치들에서의 총괄 오버레이 오차의 놈(예를 들어, 제 2 놈)을 최소화함으로써 계산된다. 이러한 방법에서, 가정될 수 있는 모델 복잡도 및 지문 파라미터의 개수는 측정 포인트들의 개수(및 유효성)에 의해서 제한된다. 수학적으로, 이것은 최소제곱 솔루션에 대해서 참이지만, SVM에 대해서는 반드시 그래야 하는 것은 아니다.
이러한 실시형태에서, 앞서 언급된 가정 및 계산 단계 양자 모두를 수학적으로 "무한 파라미터"(또는 적어도 매우 높은 차원) 모델을 가정하는 것과 등가인 새로운 최적화 문제로 대체하는 것이 제안된다. 매우 높은 차원 모델은, 예를 들어: 500 개의 차원을 넘는, 1000 개의 차원을 넘는, 5000 개의 차원을 넘는, 50000 개의 차원을 넘는, 5백만 개의 차원을 넘는, 또는 무한대의 차원을 포함할 수 있다. 이것에는 다음을 포함하는 많은 장점이 존재한다:
- 모델 불일치가 회피되거나 적어도 감소될 수 있다. 모델이 선택될 필요가 없고 인간의 입력이 요구되지 않는다(따라서 고장 모드를 제거함). 관심 파라미터 대신에 지식 및 콘텍스트가 소위 커널 함수 내에 누적된다
- 콘텍스트에 추상적인 의미를 제공하고, 따라서 매우 고도로 복잡하고 정확한 지문을 성긴 데이터로부터 추정하기 위하여 일부 프로세스/스캐너 지식을 사용하는 것이 가능하다.
- 콘텍스트 내의 시간에 대한 의미를 제공하여, 시간 필터링을 수행하는 대신에 장래의 로트에 대한 예측을 가능하게 하는 것이 가능하다. 시간 필터링이 위상 지연, 또는 성능을 감소시키는 일부 지연을 추가하는 비용으로 노이즈를 감소시키는 것에 주의한다.
- 지문은 유잡음 데이터에 대하여 견실하다(입실론 집중 데드-밴드(epsilon intensive dead-band)에 기인함).
- 이러한 방법은 더 적고 불균일한 계측 데이터를 더 쉽게 다룰 수 있다. 그러면 계측 부하가 감소되고 팹(fab) 쓰루풋이 증가될 수 있다.
- 액츄에이터 범위가 더 효율적으로 사용되도록, 모델링된 지문은 가능한 작다. 예를 들어, 두 가지 수학적 기술이 동일한 지문을 기술할 수 있는 경우, 작동 능력이 낭비되지 않도록 가장 작은 하나가 선택될 수 있다. 이것은 다른 정정에 대한 버짓을 자유롭게 할 수 있다.
- 과다 근사화 및 과소 근사화가 없음: 샘플외 오차가 샘플내 오차에 가능한 한 가깝게 유지하기 위하여, 머신 러닝 기법(SVM을 포함함)이 샘플링까지의 가능한 가장 작은 분산을 가지는 모델을 얻으려고 시도한다. 이것은 마진 최대화 및 정칙화를 통하여 이루어진다. 이러한 기법은 비-측정된 위치에서 통계적으로 작은 오차를 가질 수 있다.
- 추정된 지문 모델은 측정된 데이터를 충분히 양호하게 기술한다. 임의의 다른 모델에 의해서 캡쳐될 수 없었던 지문이 이러한 기법으로 쉽게 캡쳐된다.
또한, 이러한 기법은 수율 그래프에서 정상 SVM에 대한 것과 같은 거동을 유지한다.
수학적 기술:
SVM에서,
Figure pct00065
Par 모델은
Figure pct00066
Figure pct00067
보다 작은 경우에도
Figure pct00068
개의 측정에 근사화될 수 있다. 유한 개수의 측정에 대한 무한 파라미터 모델의 근사화를 예시하기 위하여, 오버레이 예가 제공될 것이다. 비록, 이러한 예가 오버레이를 직접 사용 사례로서 사용하지만, 이러한 방법론은 절대로 오버레이에 특이적인 것이 아니고, 초점, CD, 정렬, 에지 배치, 등과 같은 다른 관심 파라미터 PoI에 대해서 사용될 수 있다.
앞서 언급한 것과 같이, 오버레이 추정 문제는 일반적으로 다음과 같이 규정된다:
Figure pct00069
여기에서
Figure pct00070
는 "오버레이 모델"을 측정 그리드에서 평가함으로써 생성된 소위 "디자인 매트릭스(Design Matrix)"이다.
Figure pct00071
는 지문 파라미터: 예를 들어 k-파라미터를 포함하는 벡터이고,
Figure pct00072
는 x 및 y 방향 양자 모두에서 측정된 모든 오버레이 값을 포함하는 벡터이다.
모델 가정은 디자인 매트릭스
Figure pct00073
내에 포함된다: 이러한 매트릭스의 각각의 행은 웨이퍼 상의 특정 측정 위치를 가리키고, 이러한 매트릭스의 각각의 열은 모델에서 추정된 특정 기저 함수(예를 들어 다항식의 단일 항)를 나타낸다.
A ij = i번째 측정 포인트 상에서 평가되는 j번째 기저 함수
기저 함수는 통상적으로 각각 위치의 비선형 함수이다. 예를 들어, 38 par 필드별 모델의 각각의 기저 함수는 필드의 중심 (xf, yf)에 대한 필드 내의 포인트의 위치의 (비선형) 함수이다
Figure pct00074
여기에서 p 및 k는 다항식의 차수이다. 모델을 가정하는 것, 또는 모델링 단계는 사실상 웨이퍼 상의 각각의 포인트(웨이퍼와 연관된 콘텍스트별 파라미터)를 더 높은 차원 공간 내의 다른 포인트로 매핑하는 함수를 가정하는 것을 의미한다. 예를 들어, 100 개의 필드가 있는 웨이퍼에 대한 38-par 필드별, 척별 모델은 임의의 5 차원 벡터(각각의 필드 내의 측정 포인트; Xf, Yf에 대하여 2D, Xw, Yw에 대하여 2D 및 ChuckID에 대하여 1D)를 취하고, 이제 이것을 7600 차원 공간(38Par * 2Chucks * 100fields=7600)으로 매핑한다. 이것은 다음처럼 공식화된다:
Figure pct00075
여기에서 nPar는 파라미터의 개수를 의미한다. 이러한 함수는 측정 포인트
Figure pct00076
별로 영향을 준다. 공식적으로는:
Figure pct00077
가 입력 공간이라고 불리고,
Figure pct00078
는 피쳐 공간이라고 불리며,
오버레이의 값 (
Figure pct00079
)은 출력 공간이라고 불린다.
도 6은 모델 가정을 개념적으로 예시한다. 이러한 도면은, 웨이퍼 좌표 및 콘텍스트를 포함하는 레이아웃의, 입력 공간(IS)으로부터 지문 모델(FP)을 사용한 모델링 단계(MOD)(가정)를 통하여 더 높은 차원의 공간 또는 피쳐 공간(FS)으로의 묵시적 매핑을 보여준다. 피쳐 공간(FS)은 디자인 매트릭스
Figure pct00080
의 행을 포함한다. 그러면, 척도 또는 추정된 오버레이 또는 다른 관심 파라미터(PoI) 값을 포함하는 선형 근사화가 피쳐 공간(FS) 및 출력 공간(OS)사이에서 시도된다.
본 명세서에서 제공된 질문은, 디자인 매트릭스
Figure pct00081
로부터 무엇이 요구되는지, 그리고 디자인 매트릭스가 심지어 필요한지 여부이다.
최소제곱 최적화, (그리고 많은 다른 형태의 회귀)에서, 다음이 통상적으로 요구된다는 것이 드러날 수 있다:
Figure pct00082
이것은 풀 랭크(full rank)여야 하거나, 티호노프(Tikhonov) 등과 같은 정칙화 기법을 사용하여 풀 랭크가 되어야 한다(모델에 의존함).
그러나, SVM에 대해서는, 다음이 요구된다:
Figure pct00083
이것은 풀 랭크가 아니고, nMeas는 측정의 개수이다. SVM의 콘텍스트에서는,
Figure pct00084
매트릭스가 커널이라고 불린다. 사실상,
Figure pct00085
는 피쳐 공간 내의
Figure pct00086
Figure pct00087
요소(즉 벡터)의 내적이다(각각 측정 포인트 i 및 j와 연관됨). 수학에서 내적은 두 개의 벡터의 유사도의 정의이다. 그러므로
Figure pct00088
는 측정 포인트
Figure pct00089
가 측정 포인트
Figure pct00090
에 얼마나 유사한지를 기술한다.
상이한 개수의 파라미터가 있는 상이한 모델들은 상이한 값을 출력할 수 있다; 그러나, 커널이 동일한 크기를 유지하고 커널의 값이 상이한 모델에 대해서 크게 변하지 않는 동안에, 모델들은 유사도의 개념을 보존할 것이다. 예를 들어, 제 1 모델 및 제 2 모델 양자 모두는 웨이퍼 상의 두 개의 포인트들의 유사도에 대해서 어느 정도 합의하여야 한다. 이와 같이, 두 개의 포인트들이 하나의 모델을 사용할 때 동일한 값을 가진다면, 이들은 다른 모델을 사용할 때 크게 변하는 값을 가져서는 안 된다.
커널을 사용하면,
Figure pct00091
를 구성하기 위해서 디자인 매트릭스 (
Figure pct00092
)를 먼저 구성할 필요가 없다.
Figure pct00093
매트릭스는 우선 커널 함수 k를 분석적으로 생성함으로써 생성될 수 있다; 예를 들어, 다음이 성립하고:
Figure pct00094
여기에서
Figure pct00095
는 매핑 함수로서 규정된다. 임의의 모델이 위의 수학식을 사용하여 커널로 변환될 수 있다는 것에 주의하며, 모델과 연관되고 Xi, Xj에서 평가된 매핑 함수의 각각의 요소를 단순히 승산하고, 이들을 합산한다(즉, 두 개의 벡터 i 및 j의 내적을 매핑 함수
Figure pct00096
에 의한 범위를 가지는 피쳐 공간 내에서 계산한다). 예를 들어,
Figure pct00097
Figure pct00098
이다.
그러나, 커널이 유효하기 위하여, 커널이 임의의 모델에 대응하는 것은 불필요하다. 이것에 후속하여, 함수는 각각의 그리고 모든 측정 위치에서 평가될 수 있다:
Figure pct00099
이것은 디자인 매트릭스
Figure pct00100
를 우선 구성하고, 이것을 그 자체로서 승산하는 것과 완전히 동일하다. 이러한 트릭을 사용하면, 디자인 매트릭스
Figure pct00101
를 생성하는 것이 매우 어렵거나 아예 불가능한 경우에도, 예를 들어 커널이 무한 차원 공간의 내적을 기술하는 경우에, 커널 매트릭스들이 생성될 수 있다.
수학적으로, 이러한 커널이 유효하기 위한 유일한 요구 사항은 이것이 커널 함수 k가 정의되는 공간에 걸쳐서 양의 준정치(positive semi-definite) 성질을 가져야 한다는 것이다. 그러므로, 매핑 함수
Figure pct00102
가 실제로 존재하는지를 점검하기 위하여 요구 사항이 존재하지 않는다. 이것은 양의 준정치 성질을 가지기만 하면 임의의 오버레이 모델에 대응하는 커널을 사용하는 것이 가능하다는 것을 의미한다. 커널은 무한 차원 모델에 대응하도록 구성될 수 있다.
일 실시형태에서, 커널은 거리 메트릭을 기술할 수 있다. 거리 메트릭은 피쳐 공간 내의 두 개의 요소들의 내적일 수 있다. 또는, 거리 메트릭은 피쳐 공간 내의 두 개의 요소의 성분들 사이의 차이의 절대값의 합일 수 있다(예를 들어 k(X1, X2)= |1-1|+| X1-X2|+| X12-X22|+| X13-X23|).
커널 아이디어를 이해하기 위하여, 후속하는 예가 주어진다. 2 차원 공간에서의 예시적인 측정의 경우:
Figure pct00103
이고, 커널 함수는:
Figure pct00104
이며 이것은 모델을:
Figure pct00105
과 같이 나타내고,
이것은 2차까지의 모든 다항식이다.
이와 유사하게, 커널 함수
Figure pct00106
는 n차까지의 모든 다항식을 나타낸다.
이와 유사하게, 가우시안 커널:
Figure pct00107
은 무한 개수의 파라미터가 있는 모델을 나타내고, 여기에서
Figure pct00108
는 임의의 길이 스케일이다. 물론, 무한 개수의 행을 가지는 디자인 매트릭스를 생성하는 것을 불가능할 것이다; 그러나, 그럼에도 불구하고 해당 특정 무한 차원 공간 내의 내적을 나타내는 커널을 생성하는 것은 가능하다.
자연적으로, 임의의 모델을 가지지 않음으로써, 지문 파라미터를 가지는 것이 가능하지 않다. 그러나, 커널 기반 SVM을 풀이하면 웨이퍼의 임의의 위치에서의 오버레이를 기술하는 (비-파라메트릭) 함수가 얻어진다. 이것은 지문 파라미터 및 다항식 기반 함수의 선형 조합이 아니고, 그 대신에, 오버레이 함수는:
Figure pct00109
이다.
이러한 문제는 최적화 문제에 기반하여 풀이될 수 있다. 최적화의 입력은 다음일 수 있다:
- 커널 함수:
Figure pct00110
(커널 함수의 선택에 대해서는 더 많은 것들이 설명될 것이다); 및
- 측정 데이터 포인트(예를 들어, 입력 공간 내의 좌표 및 오버레이 값).
최적화 문제의 출력은 다음일 수 있다:
- 병진 항
Figure pct00111
.
-
Figure pct00112
Figure pct00113
.
- 서포트 벡터
Figure pct00114
- 서포트 벡터의 번호
Figure pct00115
.
최적화 문제는 다음의 형태를 취할 수 있다:
Figure pct00116
이것은 다음에 노출되고:
Figure pct00117
Figure pct00118
여기에서
Figure pct00119
은 노이즈(리본의 두께)의 임의의 추정/게스(guess)이고, C는 앞서 이미 규정된 바 있는 정칙화 인자이다.
앞서 설명된 선형 실시형태와 같은 방식으로, 커널 기반 SVM은 모든 측정들이 충분히 설명된다는 제약에 노출되는 지문 파라미터의 복잡도 메트릭을 최소화하는 것을 포함한다. 커널 기반 SVM의 경우, 지문 파라미터의 복잡도는 개념적으로 선형 실시형태에서 규정된 것과 동일할 수 있다(예를 들어, 파라미터 값(예를 들어, Tx 및 Ty를 제외함)을 보유한 벡터의 2-놈으로서); 그러나 이것은 명시적으로 계산되지 않는다.
최적화 문제를 풀이한 이후에, 대부분의
Figure pct00120
가 0이라는 것이 목격될 것이다. 일부의
Figure pct00121
만이 0이 아닌 값을 가질 것이다. 0이 아닌
Figure pct00122
의 개수가 이러한 문제의 VC 차원이다. 그 이유는 전체 모델 파라미터가 일부 측정 포인트의 선형 조합으로서 쓰여질 수 있기 때문이다. 최적화를 풀이한 이후에, 함수가 보고되거나 임의의 (조밀한) 레이아웃 및 보고된 오버레이 값에 대해서 평가될 수 있다.
요약하자면, 다음 표가 SVM 및 커널 기반 SVM(KB SVM) 사이의 알고리즘 차이를 보여준다:
(선형)SVM KB SVM
가정
Figure pct00123

Figure pct00124
x는 파라미터를 가리킨다
Figure pct00125

언더라잉 모델이 존재하지만(선택적으로), 선험적으로 명시적으로 정의되지 않음. 그러므로
Figure pct00126
는 발견되지 않을 것이다.
Figure pct00127
는 좌표를 가리킨다.
최적화
Figure pct00128

다음에 노출됨
Figure pct00129

Figure pct00130

Figure pct00131

다음에 노출됨
Figure pct00132

Figure pct00133

Figure pct00134
가 KKT 조건으로부터 계산됨(양자 모두의 경우에)
솔루션
Figure pct00135

Figure pct00136
Figure pct00137

Figure pct00138

커널의 선택:
중요한 질문은: 커널 함수가 무엇이 되어야 하고, 커널 함수가 어떻게 결과에 영향을 주는지이다. 커널 함수는 도메인 지식에 기반한 유사도의 척도(이러한 경우에는 개별적인 측정들 사이)이다. 이러한 개념은 커널 기반 추정의 커널에 대한 것이고 임의의 특정한 구현형태(또는 임의의 특정 커널 함수)에 대한 것이 아니다.
제안된 개념은 상이한 목적을 위해 사용될 수 있는 툴이 얻어지게 한다; 그러나 바람직하게는 커널의의 스마트 선택이 매번 이루어져야 한다.
제 1 예에서, 커널은 부분적으로 필드별, 부분적으로 광역 필드간, 및 부분적으로 광역 필드내의 차수 N까지의 모든 다항식을 포함할 수 있다.
무엇보다도, 1D 예가 제공될 것이다. 언더라잉 패턴은
Figure pct00139
의 다항식/사인/코사인 함수이고, 여기에서 모든 필드는 상이하지만 사인/코사인 관련성에 의해서 서로 관련된다. 이러한 패턴은 랜덤 위치(예를 들어 원)에서 샘플링/측정되고, 다항식 커널과 함께 KB-SVM에 공급된다:
Figure pct00140
여기에서 측정
Figure pct00141
에서
Figure pct00142
이다.
측정 레이아웃은 매우 랜덤하고, 예를 들어 하나 이상의 필드가 측정을 가지지 않는 것이 가능하다. 그러나, 간단한 4차 커널이 있는 KB-SVM은, 측정이 존재하지 않는 데이터에 대해서도 데이터를 정확하게 근사화할 수 있다. 흥미롭게도, 이것은 측정이 추가할 임의의 추가적인 정보를 가지지 않는다고 판단한다면 그것을 무시하거나 버릴 수도 있다.
도 7은 이것을 예시하는, 출력 공간(OS)(관심 파라미터에 대한 값) 대 입력 공간(IS)(필드 1 내지 6에 걸치니 웨이퍼 위치)의 그래프이다. 이러한 예에서, 첫 번째 그래프(흑색 라인)는 실제 지문(FP)이고 두 번째 그래프(회색 라인)는 다항식 커널을 사용한 KB-SVM 추정이다. 필드 4는 측정 데이터 M을 포함하고, 따라서 서포트 벡터(SV)를 가지지 않는다. 그러나, 추정 KB SVM은 필드 4를 포함하는 모든 필드에 대해서 실제 지문(FP)에 매우 가깝다.
동일한 아이디어를 2D 오버레이 예에 적용하면, CPE(필드 정정별)를 다른 기법을 사용한 광역 모델링에 대해서만 적합한 데이터 세트에 기반하여 획득하는 것이 가능하다. 이러한 기법의 주된 장점은, 이것이 이용가능한 데이터의 임의의 (전부가 아닌) 세트에서 언더라잉 패턴을 찾으려고 시도한다는 것이다. 좀 더 구체적으로는, 일부 필드가 조밀하게 측정되지만 다른 필드들은 성기게 측정되는 측정 레이아웃을 가정하면, 이러한 레이아웃에 대해서 CPE를 추정하기 위해서는 KB-SVM을 사용하는 것이 바람직할 것이다. 아이디어는, 모든 필드가 다소 다르며, 이러한 차이가 현존하는 측정으로써 캡쳐된다(어느 정도까지는)는 것이다. 그러면, 이러한 유사도의 척도를 캡쳐하기 위하여 커널이 구성된다. 커널은 정확할 필요가 없지만, 필요한 성분은 가져야 한다. 예를 들어, 다음의 커널이 사용될 수 있다:
Figure pct00143
여기에서
Figure pct00144
이다.
의 첫 번째 부분은 본질적으로, 두 개의 포인트가 그들이 동일한 필드 내에 있다면 그렇지 않은 것보다 10 배 더 유사하다는 것을 진술한다. 이것은 부분적으로 (0.1) 광역-필드내 및 부분적으로 (1) 필드별을 의미한다. 두 번째 부분은 임의의 필드내 지문이 5차 다항식일 수 있다는 것을 진술한다. 커널의 세 번째 부분은 지문의 필드간 부분이 연속적이어야 한다는 것을 진술한다(가우시안 커널).
이러한 기법의 단점은 양호한 커널을 구성하려면 전문가가 필요하다는 것이다. 비록 커널 내의 개수가 그렇게 중요하지는 않지만, 그 구조는 중요하다.
다른 예에서는, 필드간 가우시안 커널(Interfield Gaussian kernel)이 제안된다. 국소 필드간 지문은, 이것이 현존 지문 모델으로써는 캡쳐되지 않을 수 있게 할 수 있는데, 그 이유는 매우 높은 차수의 모델이 필요하기 때문이다; 지문은 매우 국소적이다. 추가하여, 현존하는 필드별 모델은 이산, 비-정확한 추정을 제공한다. 이러한 지문을 모델링하기 위해서, 가우시안 방사상 커널은 다음의 형태를 취할 수 있다:
Figure pct00145
여기에서
Figure pct00146
는 웨이퍼 상의 포인트의 위치이고,
Figure pct00147
는 상수이며, 두 개의 포인트 사이의 거리보다 크고 지문의 점유공간보다 작다.
필드별 모델은 이산되어서는 안 되는 물리적 지문의 이산 추정(discrete estimate)을 제공한다.
커널 기반 접근법은 커널의 양호한 정의를 요구한다. 이것은 전문가의 지식에 기반하거나, 데이터 주도 접근법을 사용하여 발견될 수 있다. 다른 접근법은 멀티-커널 추정을 포함할 수 있다.
요약하자면, 이러한 커널 기반 실시형태는 측정된 지문을 평가하기 위한 하나 이상의 기준들(예를 들어, 두 개의 웨이퍼 좌표들 사이의 근사도)을 기술하기 위한 커널을 구성하거나 선택하는 것을 포함한다. 이러한 커널은 모델의 상이한 그래뉼래러티(예를 들어, 셀별, 다이별, 서브-필드별, 필드별, 웨이퍼별, 로트별 등)를 고려하면서 측정된 지문을 치밀하게 하기 위한 함수가 그로부터 생성되는 모델의 하나 이상의 클래스(예를 들어, 가중치에 따를 수 있는, 결합된 다수의 모델 클래스)를 규정한다. 커널이 있는 SVM이 측정된 지문을 기술할 함수를 결정한다.
이러한 실시예들은 다음 절들을 사용하여 더 기술될 수 있다.
1. 측정 데이터를 모델에 근사화하는 방법으로서,
기판의 적어도 일부에 대한 성능 파라미터에 관련된 측정 데이터를 획득하는 단계; 및
상기 측정 데이터와 근사화된 모델 사이의 편차가 임계 값을 초과하지 않게 하면서 모델의 근사화 파라미터에 적용되는 복잡도 메트릭을 최소화함으로써, 상기 측정 데이터를 상기 모델에 근사화하는 단계를 포함하는, 근사화 방법.
2. 제 1 절에 있어서,
상기 복잡도 메트릭은 모델 파라미터의 1-놈(norm) 또는 2-놈이거나, 가중된 모델 파라미터의 1-놈 또는 2-놈인, 근사화 방법.
3. 제 1 절 또는 제 2 절에 있어서,
상기 복잡도 메트릭은, 상기 측정 데이터 내에 포함되는 임의의 이상치(outlier)를 수용하기 위한 하나 이상의 부가 변수(slack variable)를 더 포함하고,
상기 측정 데이터와 근사화된 모델 사이의 편차는 상기 이상치, 및 상기 부가 변수를 가중하기 위한 하나 이상의 계수에 대해 상기 임계 값을 초과하도록 허용되는, 근사화 방법.
4. 제 3 절에 있어서,
상기 하나 이상의 계수는, 상기 이상치가 근사화의 복잡도에 반하여 페널티가 부여되는 정도를 결정하도록 선택 및/또는 최적화될 수 있는 복잡도 계수인, 근사화 방법.
5. 제 1 절 내지 제 4 절 중 어느 한 절에 있어서,
상기 측정 데이터는 적어도 2-차원 측정 데이터를 포함하는, 근사화 방법.
6. 제 5 절에 있어서,
상기 근사화하는 단계는,
상기 성능 파라미터의 공간적 분포를 기술하는 2-차원 지문을 결정하는 것을 포함하는, 근사화 방법.
7. 제 1 절 내지 제 6 절 중 어느 한 절에 있어서,
상기 방법은,
상기 복잡도 메트릭에 대하여 라그랑지 승수(Lagrange multiplier)를 규정하는 단계, 및
상기 복잡도 메트릭을 상기 라그랑지 승수를 사용하여 라그랑지 함수로 변환하는 단계를 더 포함하는, 근사화 방법.
8. 제 7 절에 있어서,
상기 방법은,
상기 라그랑지 함수를 2차 프로그래밍 최적화(quadratic programming optimization)로 변환하는 단계를 더 포함하는, 근사화 방법.
9. 제 7 절 또는 제 8 절에 있어서,
상기 근사화하는 단계는,
모델 파라미터를 디자인 매트릭스 및 상기 라그랑지 승수에 대한 최적화된 값의 선형 조합으로서 결정하는 것을 포함하는, 근사화 방법.
10. 제 1 절 내지 제 9 절 중 어느 한 절에 있어서,
상기 측정 데이터는,
상기 기판의 특성; 상기 기판에 적용될 패턴을 규정하는 패터닝 디바이스의 특성; 상기 기판을 홀딩하기 위한 기판 스테이지 및 상기 패터닝 디바이스를 홀딩하기 위한 레티클 스테이지 중 하나 또는 양자 모두의 위치; 또는 상기 패터닝 디바이스 상의 패턴을 상기 기판에 전사하는 패턴 전사 시스템의 특성 중 하나 이상을 기술하는, 근사화 방법.
11. 제 1 절 내지 제 10 절 중 어느 한 절에 있어서,
상기 측정 데이터는,
오버레이 데이터, 임계 치수 데이터, 정렬 데이터, 초점 데이터, 및 레벨링 데이터 중 하나 이상을 포함하는, 근사화 방법.
12. 제 1 절 내지 제 11 절 중 어느 한 절에 있어서,
상기 복잡도 메트릭은,
기판 평면에 평행한 방향으로의 노광 궤적 제어; 기판 평면에 수직인 방향으로의 노광 궤적 제어; 렌즈 수차 정정; 선량 제어; 및 리소그래피 장치의 소스 레이저에 대한 레이저 대역폭 제어
중 하나 이상의 제어를 최적화하도록 리소그래피 프로세스를 제어하는 것에 관련되는, 근사화 방법.
13. 제 12 절에 있어서,
상기 방법은,
상기 최적화된 제어에 따라서 상기 리소그래피 프로세스를 제어하는 단계를 포함하는, 리소그래피 장치 제어 방법.
14. 제 12 절 또는 제 13 절에 있어서,
상기 리소그래피 프로세스는, 집적 회로를 제조하기 위한 제조 프로세스의 일부를 형성하는, 기판 상의 층의 노광을 포함하는, 근사화 방법.
15. 제 1 절 내지 제 14 절 중 어느 한 절에 있어서,
상기 복잡도 메트릭은,
오버레이 오차, 에지 배치 오차, 임계 치수 오차, 초점 오차, 정렬 오차 및 레벨링 오차 중 하나 이상을 최소화하도록 작동가능한, 근사화 방법.
16. 성능 파라미터 분포를 모델링하기 위한 방법으로서,
기판의 적어도 일부에 대한 성능 파라미터에 관련된 측정 데이터를 획득하는 단계; 및
상기 측정 데이터에 기반하여 모델의 최적화에 의하여 성능 파라미터 분포를 모델링하는 단계를 포함하고,
상기 최적화는, 상기 측정 데이터 내에 포함된 실질적으로 모든 포인트가 모델링된 성능 파라미터 분포로부터의 임계 값 내에 있다는 제약에 노출되는 모델링된 성능 파라미터 분포의 복잡도를 나타내는 비용 함수를 최소화하는, 성능 파라미터 분포 모델링 방법.
17. 제약제 16 절에 있어서,
상기 측정 데이터는 하나 이상의 이상치를 포함하고,
상기 하나 이상의 이상치는 상기 제약을 만족시키지 않도록 허용되며,
상기 비용 함수는 상기 제약을 만족시키지 않는 상기 이상치에 페널티를 부여하기 위한 페널티 항(penalization term)을 더 포함하는, 성능 파라미터 분포 모델링 방법.
18. 제 17 절에 있어서,
상기 페널티 항은 상기 측정 데이터 내에 포함된 임의의 이상치를 수용하기 위한 하나 이상의 부가 변수를 포함하고,
상기 제약은 상기 이상치에 대해서 완화되는, 성능 파라미터 분포 모델링 방법.
19. 제 18 절에 있어서,
상기 하나 이상의 계수는, 상기 이상치가 근사화의 복잡도에 반하여 페널티가 부여되는 정도를 결정하도록 선택 및/또는 최적화될 수 있는 복잡도 계수를 더 포함하는, 성능 파라미터 분포 모델링 방법.
20. 제 16 절 내지 제 19 절 중 어느 한 절에 있어서,
상기 방법은,
상기 비용 함수에 대하여 라그랑지 승수(Lagrange multiplier)를 규정하는 단계, 및
상기 비용 함수를 상기 라그랑지 승수를 사용하여 라그랑지 함수로 변환하는 단계를 더 포함하는, 성능 파라미터 분포 모델링 방법.
21. 제 20 절에 있어서,
상기 방법은,
상기 라그랑지 함수를 2차 프로그래밍 최적화(quadratic programming optimization)로 변환하는 단계를 더 포함하는, 성능 파라미터 분포 모델링 방법.
22. 제 20 절 또는 제 21 절에 있어서,
상기 모델링하는 단계는,
모델 파라미터를 디자인 매트릭스 및 상기 라그랑지 승수에 대한 최적화된 값의 선형 조합으로서 결정하는 것을 포함하는, 성능 파라미터 분포 모델링 방법.
23. 성능 파라미터 분포를 기술하는 함수를 결정하는 방법으로서,
기판 상의 샘플링 위치에 대한 성능 파라미터에 관련된 측정 데이터를 획득하는 단계;
커널을 결정하는 단계; 및
상기 함수를 규정하는 서포트 벡터(support vector) 및 서포트 값(support value)을 결정하도록, 상기 커널을 사용하여 최적화 프로세스를 수행하는 단계를 포함하는, 함수 결정 방법.
24. 제 23 절에 있어서,
상기 커널은 양의 준정치 매트릭스(positive semi-definite matrix)인, 함수 결정 방법.
25. 제 23 절 또는 제 24 절에 있어서,
상기 커널을 결정하는 단계는, 상기 측정 데이터를 평가하기 위한 기준에 적어도 부분적으로 기반하는, 함수 결정 방법.
26. 제 23 절 내지 제 25 절 중 어느 한 절에 있어서,
상기 방법은, 매핑 함수에 기반하여 피쳐 공간을 생성하는 단계를 더 포함하는, 함수 결정 방법.
27. 제 26 절에 있어서,
상기 커널은 상기 피쳐 공간과 연관된 거리 메트릭에 대응하는, 함수 결정 방법.
28. 제 26 절 또는 제 27 절에 있어서,
상기 피쳐 공간의 차원은 상기 매핑 함수의 성분들에 대응하는, 함수 결정 방법.
29. 제 26 실시예 내지 제 28 실시예 중 어느 한 실시예에 있어서,
상기 매핑 함수는 샘플링 위치를 상기 피쳐 공간으로 매핑하는, 함수 결정 방법.
30. 제 27 실시예 내지 제 29 실시예 중 어느 한 실시예에 있어서,
상기 거리 메트릭은 상기 피쳐 공간의 요소들 사이의 거리를 규정하는, 함수 결정 방법.
31. 제 27 절 내지 제 30 절 중 어느 한 절에 있어서,
상기 거리 메트릭은 상기 피쳐 공간에 대해서 규정된 내적으로부터 유도되는, 함수 결정 방법.
32. 제 23 절 내지 제 31 절 중 어느 한 절에 있어서,
상기 적어도 하나의 기준은, 상기 측정 데이터의 개별적인 측정들 사이의 유사도의 척도를 포함하는, 함수 결정 방법.
33. 제 23 절 내지 제 32 절 중 어느 한 절에 있어서,
상기 방법은,
커널 함수를 생성하는 단계; 및
상기 측정 데이터의 하나 이상의 측정 위치에서 상기 커널 함수를 평가함으로써 상기 커널을 결정하는 단계를 포함하는, 함수 결정 방법.
34. 제 33 절에 있어서,
상기 커널 함수는 해석적으로 생성되는, 함수 결정 방법.
35. 제 23 절 내지 제 34 절 중 어느 한 절에 있어서,
상기 최적화 프로세스를 수행하는 단계는,
상기 커널을 사용하여 커널 기반 서포트 벡터 머신 회귀를 수행하는 것을 포함하는, 함수 결정 방법.
36. 제 23 절 내지 제 35 절 중 어느 한 절에 있어서,
상기 커널 기반 서포트 벡터 머신 회귀는,
상기 측정 데이터와 상기 함수 사이의 편차가 임계 값을 초과하지 않게 하면서 상기 서포트 벡터의 계수에 적용되는 복잡도 메트릭을 최소화함으로써, 상기 커널을 사용하여 상기 측정 데이터를 모델링하는 것을 포함하는, 함수 결정 방법.
37. 제 35 절 또는 제 36 절에 있어서,
상기 최적화 프로세스는,
상기 함수를 산출하도록 상기 커널 기반 서포트 벡터 머신 회귀를 풀이하는 것을 포함하는, 함수 결정 방법.
38. 제 23 절 내지 제 37 절 중 어느 한 절에 있어서,
상기 함수는 비-파라메트릭(non-parametric) 함수를 포함하는, 함수 결정 방법.
39. 제 23 절 내지 제 38 절 중 어느 한 절에 있어서,
상기 커널은 무한 차원 파라메트릭 모델에 대응하도록 구성되는, 함수 결정 방법.
40. 제 23 절 내지 제 39 절 중 어느 한 절에 있어서,
상기 커널은 모델들의 하나 이상의 클래스에 대응하도록 구성되는, 함수 결정 방법.
41. 제 40 절에 있어서,
상기 모델의 클래스는 모델의 그래뉼래러티의 레벨을 기술하는, 함수 결정 방법.
42. 제 40 절 또는 제 41 절에 있어서,
상기 커널은 모델들의 복수 개의 클래스에 대응하도록 구성되는, 함수 결정 방법.
43. 제 23 절 내지 제 42 절 중 어느 한 절에 있어서,
상기 커널은 가우시안 커널, 다항식 커널, 및/또는 이산 커널을 포함하는, 함수 결정 방법.
44. 적합한 장치에서 실행되면 제 1 절 내지 제 43 절 중 어느 한 절의 방법을 수행하도록 동작가능한 프로그램 명령을 포함하는 컴퓨터 프로그램.
45. 제 44 절의 컴퓨터 프로그램을 포함하는 비일시적 컴퓨터 프로그램 캐리어.
46. 처리 디바이스로서,
저장 수단 - 상기 저장 수단은 제 36 절의 컴퓨터 프로그램을 포함함 -; 및
상기 컴퓨터 프로그램에 응답하여 제 1 절 내지 제 43 절 중 어느 한 절의 방법을 수행하도록 동작가능한 프로세서를 포함하는, 처리 디바이스.
47. 리소그래피 장치로서,
리소그래피 프로세스에서 제품 구조체를 기판에 제공하도록 구성되고,
제 46 절의 처리 디바이스를 포함하는, 리소그래피 장치.
48. 제 47 절에 있어서,
상기 리소그래피 장치는,
기판을 홀딩하기 위한 기판 스테이지;
패터닝 디바이스를 홀딩하기 위한 패터닝 디바이스 스테이지; 및
상기 패터닝 디바이스 상의 패턴을 상기 기판 상으로 전사하기 위한 패턴 전사 유닛을 더 포함하는, 리소그래피 장치.
49. 제 48 절에 있어서,
상기 리소그래피 장치는 액츄에이터를 포함하고,
상기 액츄에이터는 상기 기판 스테이지, 패터닝 디바이스 스테이지 및 패턴 전사 유닛 중 적어도 하나를 위한 것이며, 상기 액츄에이터가 상기 근사화된 모델에 기반하여 제어되도록 동작가능한, 리소그래피 장치.
50. 리소그래피 셀로서,
제 47 절 내지 제 49 절 중 어느 한 절의 리소그래피 장치; 및
상기 측정 데이터를 측정하도록 동작가능한 계측 시스템을 포함하는, 리소그래피 셀.
리소그래피 장치와 관련하여 사용된 "방사선" 및 "빔"이라는 용어는, 자외(UV) 방사선(예컨대, 약 365, 355, 248, 193, 157, 또는 126 nm의 파장을 가짐) 및 극자외(EUV) 방사선(예컨대, 5-20 nm 범위의 파장을 가짐), 및 이온 빔 또는 전자 빔과 같은 입자 빔을 포함하는, 모든 타입의 전자기 방사선을 망라한다.
본 명세서에 사용된 "렌즈"라는 용어는, 문맥이 허용한다면, 굴절, 회절, 반사, 자기, 전자자기, 및 정전기 광 성분을 포함하는 다양한 타입의 광 성분 중 임의의 것 또는 조합을 가리킬 수 있다.
특정 실시예에 대한 전술한 설명은 본 발명의 전반적인 특성을 완전하게 보여주어, 당해 기술 분야에 익숙한 사람이 갖고 있는 지식을 적용함으로써 본 발명의 전반적인 개념으로부터 벗어나지 않고서도 불필요한 실험 없이 이러한 구체적인 실시예에 대한 다양한 응용을 용이하게 수정 및/또는 적응시킬 수 있을 것이다. 따라서, 이러한 수정 및 적응은 본 명세서에 제공된 교시 및 지침을 기반으로 하는 개시 실시예의 등가물의 범위 내에 있도록 의도된다. 본 명세서에서 구문 또는 어휘는 예에 의한 설명의 목적을 위한 것이고 한정하기 위한 것이 아니며, 따라서 본 명세서의 용어 또는 구문은 교시 및 지도를 고려하여 당업자에 의하여 해석되어야 한다는 것이 이해되어야 한다.
본 발명의 적용 범위 및 범위는 전술한 예시 실시예의 어떠한 것에 의해서도 한정되어서는 안되며, 후속하는 청구범위 및 그 균등물에 따라서만 정해져야 한다.

Claims (15)

  1. 측정 데이터를 모델에 근사화하는 방법으로서,
    기판의 적어도 일부에 대한 성능 파라미터에 관련된 측정 데이터를 획득하는 단계; 및
    상기 측정 데이터와 근사화된 모델 사이의 편차가 임계 값을 초과하지 않게 하면서 모델의 근사화 파라미터에 적용되는 복잡도 메트릭을 최소화함으로써, 상기 측정 데이터를 상기 모델에 근사화하는 단계를 포함하는, 근사화 방법.
  2. 제 1 항에 있어서,
    상기 복잡도 메트릭은 모델 파라미터의 1-놈(norm) 또는 2-놈이거나, 가중된 모델 파라미터의 1-놈 또는 2-놈인, 근사화 방법.
  3. 제 1 항에 있어서,
    상기 복잡도 메트릭은, 상기 측정 데이터 내에 포함되는 임의의 이상치(outlier)를 수용하기 위한 하나 이상의 부가 변수(slack variable)를 더 포함하고,
    상기 측정 데이터와 근사화된 모델 사이의 편차는 상기 이상치, 및 상기 부가 변수를 가중하기 위한 하나 이상의 계수에 대해 상기 임계 값을 초과하도록 허용되는, 근사화 방법.
  4. 제 3 항에 있어서,
    상기 하나 이상의 계수는, 상기 이상치가 근사화의 복잡도에 반하여 페널티가 부여되는 정도를 결정하도록 선택 및/또는 최적화될 수 있는 복잡도 계수인, 근사화 방법.
  5. 제 1 항에 있어서,
    상기 측정 데이터는 적어도 2-차원 측정 데이터를 포함하는, 근사화 방법.
  6. 제 5 항에 있어서,
    상기 근사화하는 단계는,
    상기 성능 파라미터의 공간적 분포를 기술하는 2-차원 지문을 결정하는 것을 포함하는, 근사화 방법.
  7. 제 1 항에 있어서,
    상기 방법은,
    상기 복잡도 메트릭에 대하여 라그랑지 승수(Lagrange multiplier)를 규정하는 단계,
    상기 복잡도 메트릭을 상기 라그랑지 승수를 사용하여 라그랑지 함수로 변환하는 단계, 및
    상기 라그랑지 함수를 2차 프로그래밍 최적화(quadratic programming optimization)로 변환하는 단계를 더 포함하는, 근사화 방법.
  8. 제 7 항에 있어서,
    상기 근사화하는 단계는,
    모델 파라미터를 디자인 매트릭스 및 상기 라그랑지 승수에 대한 최적화된 값의 선형 조합으로서 결정하는 것을 포함하는, 근사화 방법.
  9. 제 1 항에 있어서,
    상기 측정 데이터는,
    상기 기판의 특성; 상기 기판에 적용될 패턴을 규정하는 패터닝 디바이스의 특성; 상기 기판을 홀딩하기 위한 기판 스테이지 및 상기 패터닝 디바이스를 홀딩하기 위한 레티클 스테이지 중 하나 또는 양자 모두의 위치; 또는 상기 패터닝 디바이스 상의 패턴을 상기 기판에 전사하는 패턴 전사 시스템의 특성 중 하나 이상을 기술하는, 근사화 방법.
  10. 제 1 항에 있어서,
    상기 측정 데이터는,
    오버레이 데이터, 임계 치수 데이터, 정렬 데이터, 초점 데이터, 및 레벨링 데이터 중 하나 이상을 포함하는, 근사화 방법.
  11. 제 1 항에 있어서,
    상기 복잡도 메트릭은,
    기판 평면에 평행한 방향으로의 노광 궤적 제어; 기판 평면에 수직인 방향으로의 노광 궤적 제어; 렌즈 수차 정정; 선량 제어; 및 리소그래피 장치의 소스 레이저에 대한 레이저 대역폭 제어
    중 하나 이상의 제어를 최적화하도록 리소그래피 프로세스를 제어하는 것에 관련되는, 근사화 방법.
  12. 제 11 항에 있어서,
    상기 방법은,
    상기 최적화된 제어에 따라서 상기 리소그래피 프로세스를 제어하는 단계를 포함하는, 근사화 방법.
  13. 제 11 항에 있어서,
    상기 리소그래피 프로세스는, 집적 회로를 제조하기 위한 제조 프로세스의 일부를 형성하는, 기판 상의 층의 노광을 포함하는, 근사화 방법.
  14. 제 1 항에 있어서,
    상기 복잡도 메트릭은,
    오버레이 오차, 에지 배치 오차, 임계 치수 오차, 초점 오차, 정렬 오차 및 레벨링 오차 중 하나 이상을 최소화하도록 작동가능한, 근사화 방법.
  15. 컴퓨터 프로그램을 포함하는 비일시적 컴퓨터 프로그램 캐리어로서,
    상기 컴퓨터 프로그램은 적합한 장치에서 실행될 때 제 1 항의 방법을 수행하도록 동작가능한 프로그램 명령을 포함하는, 비일시적 컴퓨터 프로그램 캐리어.
KR1020227012795A 2019-10-17 2020-10-05 측정 데이터를 모델에 근사화하고 성능 파라미터 분포를 모델링하는 방법 및 연관된 장치 KR20220058639A (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
EP19203752.1 2019-10-17
EP19203752.1A EP3809203A1 (en) 2019-10-17 2019-10-17 Methods of fitting measurement data to a model and modeling a performance parameter distribution and associated apparatuses
EP20193618 2020-08-31
EP20193618.4 2020-08-31
PCT/EP2020/077807 WO2021073921A1 (en) 2019-10-17 2020-10-05 Methods of fitting measurement data to a model and modeling a performance parameter distribution and associated apparatuses

Publications (1)

Publication Number Publication Date
KR20220058639A true KR20220058639A (ko) 2022-05-09

Family

ID=72752910

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020227012795A KR20220058639A (ko) 2019-10-17 2020-10-05 측정 데이터를 모델에 근사화하고 성능 파라미터 분포를 모델링하는 방법 및 연관된 장치

Country Status (6)

Country Link
US (1) US20240118629A1 (ko)
EP (1) EP4045976A1 (ko)
KR (1) KR20220058639A (ko)
CN (1) CN114585970A (ko)
TW (1) TWI810491B (ko)
WO (1) WO2021073921A1 (ko)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2023036593A1 (en) * 2021-09-09 2023-03-16 Asml Netherlands B.V. Method for converting metrology data
CN115618748B (zh) * 2022-11-29 2023-05-02 支付宝(杭州)信息技术有限公司 一种模型优化的方法、装置、设备及存储介质

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7586609B2 (en) * 2005-04-21 2009-09-08 Macronix International Co., Ltd. Method for analyzing overlay errors
NL1036245A1 (nl) 2007-12-17 2009-06-18 Asml Netherlands Bv Diffraction based overlay metrology tool and method of diffraction based overlay metrology.
NL1036597A1 (nl) 2008-02-29 2009-09-01 Asml Netherlands Bv Metrology method and apparatus, lithographic apparatus, and device manufacturing method.
NL1036857A1 (nl) 2008-04-21 2009-10-22 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
NL2004094A (en) 2009-02-11 2010-08-12 Asml Netherlands Bv Inspection apparatus, lithographic apparatus, lithographic processing cell and inspection method.
KR101461457B1 (ko) 2009-07-31 2014-11-13 에이에스엠엘 네델란즈 비.브이. 계측 방법 및 장치, 리소그래피 시스템, 및 리소그래피 처리 셀
CN102483582B (zh) 2009-08-24 2016-01-20 Asml荷兰有限公司 量测方法和设备、光刻设备、光刻处理单元和包括量测目标的衬底
WO2012022584A1 (en) 2010-08-18 2012-02-23 Asml Netherlands B.V. Substrate for use in metrology, metrology method and device manufacturing method
US9140998B2 (en) 2010-11-12 2015-09-22 Asml Netherlands B.V. Metrology method and inspection apparatus, lithographic system and device manufacturing method
KR101761735B1 (ko) 2012-03-27 2017-07-26 에이에스엠엘 네델란즈 비.브이. 메트롤로지 방법 및 장치, 리소그래피 시스템 및 디바이스 제조 방법
NL2010458A (en) 2012-04-16 2013-10-17 Asml Netherlands Bv Lithographic apparatus, substrate and device manufacturing method background.
US9535338B2 (en) 2012-05-29 2017-01-03 Asml Netherlands B.V. Metrology method and apparatus, substrate, lithographic system and device manufacturing method
EP3321737A1 (en) * 2016-11-10 2018-05-16 ASML Netherlands B.V. Method for determining an optimized set of measurement locations for measurement of a parameter of a lithographic process, metrology system
WO2019048506A1 (en) * 2017-09-08 2019-03-14 Asml Netherlands B.V. METHODS OF LEARNING OPTICAL CORRECTION OF PROXIMITY ERROR ASSISTED BY AUTOMATIC APPRENTICESHIP

Also Published As

Publication number Publication date
TWI810491B (zh) 2023-08-01
WO2021073921A1 (en) 2021-04-22
EP4045976A1 (en) 2022-08-24
TW202129427A (zh) 2021-08-01
CN114585970A (zh) 2022-06-03
US20240118629A1 (en) 2024-04-11

Similar Documents

Publication Publication Date Title
US11493851B2 (en) Lithographic method and lithographic apparatus
KR102448797B1 (ko) 리소그래피 장치 및 연관된 장치를 제어하는 방법
TWI752581B (zh) 微影裝置、元件製造方法及相關資料處理裝置與電腦程式產品
KR102469136B1 (ko) 리소그래피 프로세스 및 장치, 그리고 검사 프로세스 및 장치
TWI810491B (zh) 將量測資料擬合到模型中及模型化性能參數分佈的方法及相關裝置
US11796920B2 (en) Method for controlling a manufacturing process and associated apparatuses
EP3869271A1 (en) Method for controlling a manufacturing process and associated apparatuses
EP3809203A1 (en) Methods of fitting measurement data to a model and modeling a performance parameter distribution and associated apparatuses
US20240061353A1 (en) Method for determining a focus actuation profile for one or more actuators of a lithographic exposure apparatus
KR102631626B1 (ko) 리소그래피 프로세스를 제어하기 위한 방법 및 장치
TWI754249B (zh) 判定一組度量衡點的方法及判定用於擬合量測之模型之方法
EP4254068A1 (en) Method for determining a spatial distribution of a parameter of interest over at least one substrate or portion thereof
EP3945367A1 (en) Method for controlling a manufacturing process and associated apparatuses
NL2024950A (en) Method for controlling a manufacturing process and associated apparatuses
CN118140179A (zh) 确定性能参数分布的方法

Legal Events

Date Code Title Description
E902 Notification of reason for refusal