CN103493179A - 热线式原子层沉积设备及其使用方法 - Google Patents

热线式原子层沉积设备及其使用方法 Download PDF

Info

Publication number
CN103493179A
CN103493179A CN201280019433.0A CN201280019433A CN103493179A CN 103493179 A CN103493179 A CN 103493179A CN 201280019433 A CN201280019433 A CN 201280019433A CN 103493179 A CN103493179 A CN 103493179A
Authority
CN
China
Prior art keywords
precursor gases
line
port
distribution plate
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201280019433.0A
Other languages
English (en)
Inventor
J·约德伏斯基
G·K·翁
D·哈斯
S·D·马库斯
T·W·韦德曼
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN103493179A publication Critical patent/CN103493179A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本发明提供用于原子层沉积设备的气体分配板,该等气体分配板包括热线或热线单元,该热线或热线单元可经加热以在处理基板时激发气体物种。本发明亦描述使用热线激发气体前体物种来处理基板的方法。

Description

热线式原子层沉积设备及其使用方法
技术领域
本发明的实施例大体而言是关于一种用于沉积材料的设备及方法。更具体的,本发明的实施例是针对原子层沉积腔室,该等原子层沉积腔室具有用于在接触基板表面之前激发气体物种的热线。
背景技术
在半导体处理、平板显示器处理或其它电子装置处理的领域中,气相沉积工艺在将材料沉积于基板的过程中扮演着重要角色。当电子装置的几何结构继续缩小且装置的密度继续增加时,特征结构的尺寸及深宽比变得更严苛,例如,特征结构的尺寸为0.07μm及深宽比为10或10以上。因此,形成这种装置的材料的保形沉积变得日益重要。
在原子层沉积(atomic layer deposition;ALD)工艺期间,将反应性气体顺序地引入至含有基板的处理腔室中。通常,将第一反应物引入至处理腔室中,且将第一反应物吸附至基板表面上。随后将第二反应物引入至处理腔室中,且使第二反应物与第一反应物反应,以形成经沉积材料。可在每一反应性气体输送期间执行净化步骤,以确保反应仅在基板表面上发生。净化步骤可为使用载气的连续净化或在反应性气体输送期间的脉冲净化。
在此项技术中存在对于通过原子层沉积快速且有效率地处理基板的设备及方法的持续需要。
发明内容
本发明的实施例是针对气体分配板,该等气体分配板包含输入面、输出面及线。输入面包含第一前体气体输入端及第二前体气体输入端,该第一前体气体输入端经配置以接收第一前体气流,该第二前体气体输入端经配置以接收第二前体气流。输出面具有多个狭长的气体端口,该多个狭长的气体端口经配置以将气流导向邻近输出面的基板。狭长的气体端口包括至少一个第一前体气体端口及至少一个第二前体气体端口。至少一个第一前体气体端口与第一前体气体形成流动连通,且至少一个第二前体气体端口与第二前体气体形成流动连通。线定位于第一前体气体端口与第二前体气体端口中的至少一者内,且线连接至电源,以加热该线。在详细实施例中,线包含钨。在详细实施例中,可加热线,以激发在整个在线流动的气体中的物种。
在一些实施例中,气体分配板进一步包含张紧装置,该张紧装置连接至线,以提供张力。在详细实施例中,张紧装置包含弹簧。在特定实施例中,张力足以防止线的显著下垂及线的断裂。根据一些实施例,张紧装置附接至气体分配板的输入面。
根据一些实施例,线处于外罩内,该外罩附接至输出面且定位成使得离开第一前体气体端口与第二前体气体端口中的一个或多个的气体穿过该外罩。
在一些实施例中,该多个狭长的气体端口基本上按次序由前端第一前体气体端口、第二前体气体端口及后端第一前体气体端口组成。在详细实施例中,线为单一线,该单一线沿着两个第一前体气体端口延伸且围绕第二前体气体端口。在特定实施例中,存在两个线:第一线及第二线,该第一线沿着前端第一前体气体端口延伸,该第二线沿着后端第一前体气体端口延伸。在一或更多实施例中,线沿着至少一个第二前体气体端口延伸。
在一些实施例中,该多个狭长的气体端口基本上按次序由交替的第一前体气体端口及第二前体气体端口的至少两个重复单元组成,该等交替的第一前体气体端口及第二前体气体端口后面接着后端第一前体气体端口。在详细实施例中,线沿着第一前体气体端口中的每一个延伸。在特定实施例中,线沿着第二前体气体端口中的每一个延伸。
本发明的额外实施例是针对具有所述气体分配板的处理腔室。
本发明的另外的实施例是针对处理基板的方法。在气体分配板下方横向移动具有表面的基板,该气体分配板包含多个狭长的气体端口,该多个狭长的气体端口包括至少一个第一前体气体端口及至少一个第二前体气体端口,该至少一个第一前体气体端口经配置以输送第一前体气体,该至少一个第二前体气体端口经配置以输送第二前体气体。将第一前体输送至基板表面。将第二前体气体输送至基板表面。将功率施加于线,以激发第一前体气体及第二前体气体中的一个或多个中的气体物种,该线定位于至少一个第一前体气体端口及至少一个第二前体气体端口中的一个或多个内,该等受激发物种与基板的表面反应。详细实施例进一步包含以下步骤:将张力施加于线,该张力足以防止该线的显著下垂及该线的断裂。
本发明的一些实施例是针对处理基板的方法。在邻近气体分配板处横向移动基板,该气体分配板具有多个狭长的气体端口。该多个狭长的气体端口基本上按次序由前端第一前体气体端口、第二前体气体端口及后端第一前体气体端口组成。使基板的表面按次序顺序地与来自前端第一前体气体端口的第一前体气流、来自第二前体气体端口的第二前体气流及来自后端第一前体气体端口的第一前体气流接触。在接触基板的表面之前,通过将功率施加于线,来激发第一前体气体及第二前体气体中的一个或多个中的气体物种,该线定位于前端第一前体气体端口与后端第一前体气体端口两者内或者第二前体气体端口内。在详细实施例中,方法进一步包含以下步骤:调整线的张力,以防止该线的实质下垂及断裂。
附图说明
因此,可获得及详细理解本发明的上述特征结构的方式,即上文简要概述的本发明的更特定描述可参照实施例进行,某些实施例图示于附图中。然而,应注意,该等附图仅图示本发明的典型实施例,且因此不视为本发明的范畴的限制,因为本发明可允许其它同等有效的实施例。
图1图示根据本发明的一或更多实施例的原子层沉积腔室的示意性横截面侧视图;
图2图示根据本发明的一或更多实施例的基座的透视图;
图3图示根据本发明的一或更多实施例的气体分配板的透视图;
图4图示根据本发明的一或更多实施例的气体分配板的正视图;
图5图示根据本发明的一或更多实施例的气体分配板的正视图;
图6图示根据本发明的一或更多实施例的气体分配板的正视图;
图7图示根据本发明的一或更多实施例的气体分配板的正视图;
图8图示根据本发明的一或更多实施例的气体分配板的正视图;
图9图示根据本发明的一或更多实施例的气体分配板的正视图;
图10图示根据本发明的一或更多实施例,与气体分配板一起使用的线外罩的透视图;
图11图示根据本发明的一或更多实施例的张紧装置的等角横截面图;
图12图示根据本发明的一或更多实施例的气体分配板的横截面图;
图13图示根据本发明的一或更多实施例的气体分配板的横截面图;以及
图14图示根据本发明的一或更多实施例的气体分配板的通道的正视图。
具体实施方式
本发明的实施例是针对原子层沉积设备及方法,该等原子层沉积设备及方法提供用于与基板表面反应的受激发气体物种。如此说明书及随附权利要求中所使用的,术语“受激发气体物种”意指不处于电子基态的任何气体物种。举例而言,分子氧可经激发形成氧自由基。氧自由基为受激发物种。此外,术语“受激发物种”、“自由基物种”及类似物意欲意指不处于基态的物种。如在此说明书及随附权利要求中所使用的,术语“基板表面”意指基板的裸露表面或该裸露基板表面上的层(例如,氧化层)。
本发明的实施例是关于对空间原子层沉积实施热线技术。在传统应用中,使用全局高温或者等离子体(例如,DC、RF、微波)技术。根据一或更多实施例,热线技术的实施在ALD工艺期间产生局部化高温。在空间ALD工艺中使用此热线技术,可降低该工艺所需要的温度、功率及其它气体的量中的一个或多个。此举降低处理基板的成本,且此举对于制造处理腔室及实现更高产量及膜质量更可靠。
通常,本发明的实施例将相容材料的单一线或多个线置放于基板上方某一距离处。将某一张力施加于该单一线或更多线。流经线的电流产生局部化高温,该局部化高温激发反应物。当自由基化物种接触到前体时,该等自由基化物种在基板上沉积高质量膜。热线可为单一装置,诸如,自前部插入的管状装置或自底部安装的凸缘安装装置。热线含有所有必要组件,以固持且张紧一或更多线、向该一或更多线、组件或材料提供电流以补偿该线及容器的伸长、随后将此单一装置置放于基板上方的反应物的路径处。线可与气体喷淋头一起整体地形成,以简化功率要求。线可在反应物路径中以U形、S形或者圆形形成,该线具有用于整个喷淋头的一个正电流引线及一个负电流引线。
图1为根据本发明的一或更多实施例的原子层沉积系统100或反应器的示意性横截面图。系统100包括负载锁定腔室10及处理腔室20。处理腔室20通常为可密封外罩,该可密封外罩在真空或至少低压之下操作。处理腔室20通过隔离阀15与负载锁定腔室10隔离。隔离阀15在闭阀位置时密封处理腔室20以与负载锁定腔室10隔离,且隔离阀15在开阀位置时允许将基板60自该负载锁定腔室10经由该阀移送至该处理腔室20,反的亦然。
系统100包括气体分配板30,该气体分配板30能够在整个基板60上分配一或更多气体。气体分配板30可为本领域普通技术人员已知的任何适合的分配板,且不应将所述特定气体分配板视为限制本发明的范畴。气体分配板30的输出面面向基板60的第一表面61。
与本发明的实施例一起使用的基板可为任何基板。在详细实施例中,基板为刚性的、分立的、大体平坦的基板。如此说明书及随附权利要求中所使用的,术语“分立的”在指基板时意指该基板具有固定大小。特定实施例的基板为半导体晶片,诸如,200mm或300mm直径的硅晶片。
气体分配板30包含多个气体端口及多个真空端口,该多个气体端口经配置以将一或更多气流传输至基板60,该多个真空端口设置于每一气体端口之间且经配置以将该等气流传输出处理腔室20。在图1的详细实施例中,气体分配板30包含第一前体注入器120、第二前体注入器130及净化气体注入器140。注入器120、130、140可通过系统计算机(未示出)(诸如,主机)或通过腔室特定控制器(诸如,可编程逻辑控制器)来控制。前体注入器120经配置以将化合物A的反应性前体即第一前体的连续(或脉冲)流经由多个气体端口125注入至处理腔室20中。前体注入器130经配置以将化合物B的反应性前体即第二前体的连续(或脉冲)流经由多个气体端口135注入至处理腔室20中。净化气体注入器140经配置以将非反应性或净化气体的连续(或脉冲)流经由多个气体端口145注入至处理腔室20中。净化气体经配置以自处理腔室20移除反应性物质及反应性副产物。净化气体通常为惰性气体,诸如,氮气、氩气及氦气。气体端口145设置在气体端口125与气体端口135之间,以便分隔化合物A之前体与化合物B之前体,藉此避免前体之间的交叉污染。如在此说明书及随附权利要求中所使用的,术语“反应性气体”、“反应性前体”、“第一前体”、“第二前体”及类似物是指能够与基板表面反应的气体及气体物种。
在另一方面,远程等离子体源(未图示)可在将前体注入至腔室20中之前连接至前体注入器120及前体注入器130。反应性物种的等离子体可通过将电场施加于远程等离子体源内的化合物来产生。可使用能够激活预期化合物的任何电源。举例而言,可用使用基于DC、射频(RF)及微波(MW)的放电技术的电源。若使用RF电源,则可将该RF电源电容耦接或者感应耦接。激活作用亦可通过基于热的技术、气体解离技术、高强度光源(例如,UV能量)或曝露至x射线源来产生。示例性远程等离子体源可购自诸如MKS Instruments,Inc.及Advanced Energy Industries,Inc.的供货商。用以产生等离子体的功率的频率可为任何已知且适合的频率。举例而言,等离子体频率可为2MHz、13.56MHz、40MHz或60MHz,但其它频率可能亦为有益的。
系统100进一步包括泵送系统150,该泵送系统150连接至处理腔室20。泵送系统150通常经配置以将气流经由一或更多真空端口155排出处理腔室20。真空端口155设置于每一气体端口之间,以便在气流与基板表面反应之后将该等气流排出处理腔室20,且进一步限制前体之间的交叉污染。
系统100包括多个分隔物160,该多个分隔物160设置于处理腔室20上每一端口之间。每一分隔物的下部部分延伸接近于基板60的第一表面61。举例而言,距第一表面61约0.5mm或0.5mm以上。以此方式,分隔物160的下部部分与基板表面分隔一距离,该距离足以允许该等气流在气流与该基板表面反应之后于下部部分周围流向真空端口155。箭头198指示气流的方向。由于分隔物160作为对于气流的实体阻障层操作,故该等分隔物160亦限制前体之间的交叉污染。所图示布置仅为说明性的且不应视为限制本发明的范畴。本领域普通技术人员将理解,所图示气体分配系统仅为一个可能的分配系统,且可采用其它类型的喷淋头。
在操作中,将基板60输送(例如,通过机器人)至负载锁定腔室10且置放于搬运梭65上。在隔离阀15打开之后,沿着轨70移动搬运梭65。一旦基板60进入处理腔室20中,则隔离阀15闭合,从而密封该处理腔室20。随后使搬运梭65移动穿过处理腔室20,以进行处理。在一个实施例中,使搬运梭65以线性路径移动穿过腔室。
随着基板60移动穿过处理腔室20,基板60的第一表面61重复地曝露至自气体端口125射出的化合物A的前体及自气体端口135射出的化合物B的前体,以及自气体端口125与气体端口135两者之间的气体端口145射出的净化气体。净化气体的注入经设计以在将基板表面61曝露至下一前体之前自先前的前体移除未反应物质。在每次曝露至各种气流(例如,前体或净化气体)之后,通过泵送系统150将气流经由真空端口155排出。由于真空端口可设置在每一气体端口的两侧,故将气流经由两侧的真空端口155排出。因此,气流自各别气体端口垂直向下流向基板60的第一表面61、在整个基板表面上及分隔物160的下部部分周围流动,且最终向上流向真空端口155。以此方式,每一气体可在整个基板表面61上均匀分配。箭头198指示气流的方向。基板60亦可在曝露至各种气流时旋转。基板的旋转可用于防止于所形成层中形成条带。基板的旋转可为连续的或以分离的步骤进行。
基板表面61曝露至每一气体的程度可通过(例如)自气体端口出来的每一气体的流动速率及基板60的移动速率来决定。在一个实施例中,每一气体的流动速率经配置以不自基板表面61移除所吸附的前体。每一分隔物之间的宽度、设置于处理腔室20上的气体端口的数目及基板往复穿过的次数亦可决定基板表面61曝露至各种气体的程度。因此,经沉积膜的量及质量可通过改变以上涉及的因素来最优化。
在另一实施例中,系统100可包括前体注入器120及前体注入器130,而无净化气体注入器140。因此,随着基板60移动穿过处理腔室20,基板表面61将交替地曝露至化合物A的前体及化合物B的前体,而不曝露于化合物A的前体与化合物B的前体两者之间的净化气体。
图1中所示的实施例在基板上方具有气体分配板30。尽管已关于此直立方向描述且图示实施例,但将理解,反向的方向亦有可能。在那种情形下,当将向上导引向着基板的气流时,基板60的第一表面61将面向下。在一或更多实施例中,至少一个辐射热源90定位成加热基板的第二侧。
取决于沉积至基板表面61上的层的数目,气体分配板30可为任何适合长度。气体分配板的一些实施例意欲用于高产量操作,在该高产量操作中,基板沿一个方向自气体分配板的第一末端移动至气体分配板的第二末端。在此单一穿过期间,完整的膜基于气体分配板中气体注入器的数目而形成于基板表面上。在一些实施例中,气体分配板具有比形成完整的膜所需要的注入器更多的注入器。可控制个别注入器,以使得一些注入器为无活性的或仅排出净化气体。举例而言,若气体分配板具有用于前体A及前体B中的每一个的一百个注入器,但仅需要50个注入器,则可将50个注入器禁用。此等禁用的注入器可在整个气体分配板上聚集或分散。
此外,尽管附图图示第一前体气体A及第二前体气体B,但应理解,本发明的实施例不限于仅具有两种不同前体的气体分配板。例如,可存在分散于整个气体分配板上的第三前体C及第四前体D。此举将使技术者能够产生具有经混合或经堆栈层的膜。
在一些实施例中,搬运梭65为用于载运基板60的基座66。通常,基座66为载具,该载具帮助在整个基板上形成均匀温度。基座66可沿两个方向(相对于图1的布置,自左至右及自右至左)在负载锁定腔室10与处理腔室20之间移动。基座66具有用于载运基板60的顶表面67。基座66可为经加热基座,以便可加热基板60以进行处理。作为实例,基座66可通过设置于该基座66下方的辐射热源90、加热板、电阻线圈或其它加热装置来加热。
在另一实施例中,如图2中所示,基座66的顶表面67包括凹部68,该凹部68经配置以容纳基板60。基座66通常比基板的厚度更厚,以使得在该基板下方存在基座材料。在详细实施例中,凹部68经配置以使得当基板60设置于凹部68内部时,基板60的第一表面61与基座66的顶表面67相齐。换言之,一些实施例的凹部68经配置以使得当基板60设置于该凹部68中时,基板60的第一表面61未在基座66的顶表面67上方伸出。
图3至图9图示根据本发明的各种实施例的气体分配板30。气体分配板30包含输入面301及输出面303。输入面301(图示于图3中)具有第一前体气体输入端305和第二前体气体输入端307,第一前体气体输入端305用于接收第一前体气体A的流,第二前体气体输入端307用于接收第二前体气体B的流。输入面301亦具有一或更多净化气体的输入端309及用于连接至一或更多真空端口的端口311。尽管图3中所示的配置具有可见的两个第一前体气体输入端305、一个第二前体气体输入端307及两个净化气体输入端309,但本领域普通技术人员将理解,可或多或少个别地或以组合形式存在此等组件中的每一组件。
图3至图9中所示特定实施例可与对向沉积系统一起使用,在该对向沉积系统中,基板邻近气体分配板往复移动,以沉积多个层。然而,应理解此仅为一个实施例,且本发明不限于对向沉积技术。本领域普通技术人员将理解,可采用具有多组前体注入器的单一大气体沉积板。
图4至图7中所示的输出面303具有多个狭长的气体端口313。气体端口313经配置以将气流导向基板,该基板可经定位邻近输出面303。狭长的气体端口313包括至少一个第一前体气体端口及至少一个第二前体气体端口。每一第一前体气体端口与第一前体气体输入端305流动连通,以允许第一前体流经气体分配板30。每一第二前体气体端口与第二前体气体输入端307流动连通,以允许第二前体流经气体分配板30。
如图4中所示,气体端口可包括通道317内的多个开口315。通道317为气体分配板的输出面内的凹槽。气体流出开口315且通过通道317的壁导向基板表面。虽然开口315图示为圆形的,但应理解,开口315可为任何适合形状,该任何适合形状包括(但不限于)方形、矩形及三角形。开口315的数目及尺寸亦可经改变以或多或少地安装开口于每一通道317内。在图4中所示的详细实施例中,净化气体(P)、第一前体气体端口(A)及第二前体气体端口(B)包含定位于通道内的多个开口。与真空端口相关联的开口318在气体分配板30的输出面303上,而非在通道317中,但开口318亦可定位于通道内。
图4中所示的特定实施例具有狭长的气体端口的组合,狭长的气体端口的该组合在基板沿着箭头350垂直地移动至狭长的气体端口时将向基板表面提供特定序列的气流。尽管基板描述为正在移动,但本领域普通技术人员将理解,基板可保持静止且气体分配板30可移动。基板与气体分配板30之间的相对移动称为基板移动。垂直地移动至狭长的气体端口的基板将按次序经受净化气体流、第一前体气体A流、净化气体流、第二前体气体B流、净化气体流、第一前体气体A’流及净化气体流的气流。该等气流中的每一气流之间为真空端口,该等真空端口将该等气流导出处理腔室。此举产生根据图1中所示的箭头198的流动模式。
在特定实施例中,气体分配板基本上按次序由前端第一前体气体端口A、第二前体气体端口B及后端第一前体气体端口A’组成。如此上下文中及随附权利要求中所使用的,术语“基本上由...组成”意指气体分配板不包括用于反应性气体的任何额外气体端口。用于非反应性气体(例如,净化气体)及真空的端口可散布于各处,同时仍处于各项的基本组成内。举例而言,气体分配板30可具有八个真空端口V及四个净化端口P但仍基本上由前端第一前体气体端口A、第二前体气体端口B及后端前体气体端口A’组成。此种类的实施例可称为ABA配置。
ABA配置的使用确保自任一方向移动的基板将在遇到第二前体气体B端口之前遇到第一前体气体A端口。每次穿过整个气体分配板30将产生组合物B的单一膜。此处,两个第一前体气体A端口围绕第二前体气体B端口,以便自附图的顶部至底部(相对于气体分配板)移动的基板将按次序遇上前端第一反应性气体A、第二反应性气体B及后端第一反应性气体A’,从而产生形成于基板上的完整层。沿着相同路径返回的基板将遇上相反次序的反应性气体,从而在每一全循环中产生两个层。在整个此气体分配板上往复移动的基板将曝露至以下脉冲序列:
AB AAB AAB(AAB)n...AABA,
从而形成组合物B的均匀膜。曝露至序列的末端处的第一前体气体A并不重要,因为不存在第二前体气体B的后续动作。本领域普通技术人员将理解,虽然膜组合物称为B,但该膜组合物实际上为反应性气体A及反应性气体B的表面反应产物的产品,且为方便起见仅使用B来描述膜。
图5图示气体分配板30的另一详细实施例,与图4的气体分配板30相反,在图5的该气体分配板30中,用于前端第一前体气体端口A及后端第一前体气体端口A’的通道完全开放,在图4的该气体分配板30中,存在通道317内的多个开口315。此外,此实施例以ABA配置图示但可较为容易地包括跨越任何所要数目的多组AB气体注入器。举例而言,气体分配板可具有100组AB气体注入器,该等100组AB气体注入器各自个别地被控制且各自个别地含有热线、张紧装置及电源。
图6中所示的气体分配板30包括线601,以激发气体物种,该线601可称为热线。线601定位于第一前体气体端口与第二前体气体端口中的任一者或两者中。线连接至电力引线323(图示于图3中),该电力引线323经配置以使电流流经线601,以加热该线601。将线601加热至高温,以激发邻近线601经过的气体中的物种。线的目的在于在气体中产生自由基物种,而非在基板中产生温度增加。可将线置放于不存在至基板的表面的直接曝露而仍能够引起气体中自由基物种的形成的位置处。举例而言,若将线601置放于第二前体气体端口中,则该线将使第二前体气体中的部分分子受激发。在激发状态下,分子具有较高能量且很可能在给定处理温度下与基板表面反应。
线的置放可能对自由基物种接触基板的程度有影响。与较近置放相比,将线置放于距基板过远处可允许更大数目的自由基物种在接触基板表面之前变为去活的。自由基物种可通过与其它自由基、气流中的分子及气体分配板接触而变为去活的。然而,将线置放于距基板更远处可帮助防止该线加热基板表面,而仍在气体中产生自由基物种。可将线601置放于距基板的表面足够近处,以确保受激发物种存在足够久,以接触该表面而不引起基板的局部温度的显著变化。如此说明书及随附权利要求中所使用的,术语“局部温度的显著变化”意指基板邻近线的部分不具有大于约10℃的温度增加。图12图示本发明的实施例的侧视图,在该侧视图中,线601定位于通道317内。此实施例不具有气体扩散组件(例如,喷淋头或多个孔)。在一些实施例中,在没有什么阻碍的情况下,经加热线601可能引起基板邻近通道的部分的温度的变化,该通道含有该线601。图13图示本发明的另一实施例,在该另一实施例中,线601定位于通道317内,该通道317具有气体扩散组件,该气体扩散组件具有多个开口315。定位于气体扩散组件后方的经加热线601可能能够激发气体物种而不显著地改变基板的局部温度。在详细实施例中,线经加热以激发气体物种,同时引起小于约10℃的表面温度变化。在各种实施例中,基板表面的温度的局部变化小于约7℃、5℃或3℃。在特定实施例中,局部温度变化小于约2℃、1℃或0.5℃。
线可由任何适合的材料制成,该任何适合的材料能够在相对较短的时段内升高至高温。适合的材料为与反应性气体兼容的材料。如此说明书及随附权利要求中所使用的,用于此方面的术语“兼容的”意指线在标准温度及压力下不会自发地与反应性气体反应。线的温度可能对气体物种自由基化的程度有影响。举例而言,氧可能需要高达约2000℃的温度,而聚合物物种可能仅需要约300℃至约500℃的范围内的温度。在一些实施例中,线能够被加热至至少约1000℃、1100℃、1200℃、1300℃、1400℃、1500℃、1600℃、1700℃、1800℃、1900℃或2000℃的温度。在各种实施例中,线能够被加热至约300℃至约2000℃的范围内或约700℃至约1400℃的范围内或约800℃至约1300℃的范围内的温度。可在整个处理中的任一点处调变或开启及关闭供应至线的功率。仅对于处理的部分而言,此举允许线被加热,从而产生受激发气体物种。
线的粗细及长度亦可取决于所使用的材料而变化。用于线的适合材料的实例包括(但不限于)钨、钽、铱、钌、镍、铬、石墨及上述的合金。举例而言,在氧为正在自由基化的物种的情况下,可能不希望使用钽或钨,因为此等材料对氧敏感且可能引起线的断裂。在详细实施例中,线包含钨。
取决于用于线中的材料,线可具有任何适合的每单位长度的密度。在一些实施例中,线具有大体上均匀的每单位长度的密度。如此说明书及随附权利要求中所使用的,术语“大体上均匀的”意指线的每单位长度的密度变化在线的整个长度内不多于20%、15%、10%、5%、3%或1%。然而,可能有利的是在线的整个长度上改变线的每单位长度的密度。举例而言,在加热之后,线可能倾向于在长度之中间处比在长度的末端处下垂更严重。此处,在线之中间处具有较低每单位长度的密度的线可提供更一致的工艺。然而,在一些实施例中,使线长度之中间具有较高每单位长度的密度可能更有益处。
线的形状亦可取决于诸如(但不限于)所要的离子化程度及制成线的材料的因素而变化。在一些实施例中,线为大体上笔直的或大体上线性的。如此说明书及随附权利要求中所使用的,术语“大体上笔直的”及“大体上线性的”意指线的线性在整个长度内存在小于10%、5%、3%或1%的偏差。
在一些实施例中,线具有非线性的形状。举例而言,线可被折迭、成手风琴形状、成环形或螺旋形。在使用非线性的线的情况下,在线的末端上提供的张力可能引起线形状随着线加热而略微改变。改变线的形状亦可提供较大表面区域,离子化可发生在该较大表面区域上。图14图示根据本发明的一或更多实施例的螺旋形线。
返回参阅图3,电源可为能够控制流经线的电流的任何适合电源。图3中所示的电力连接线321具有电力引线323及张紧装置325。电力连接线321提供用于线的机械的且电气的支撑件,且电力连接线321允许将线置放于气流的路径中。将电力连接线321经由安装块327连接至气体分配板30,该安装块327可包括绝缘体,以将电力引线323及线与气体分配板电气隔离。图3的实施例中的线延伸穿过第一前体气体通道且可为个别线或单一线,该个别线或单一线包覆在第二前体气体通道周围。
图6图示本发明的详细实施例,在该详细实施例中,气体分配板呈ABA配置,且线601为单一线,该单一线沿着两个第一前体气体端口(A及A’)延伸且包覆在第二前体气体端口B周围。可在气体分配板30的末端处提供绝缘材料603,以使得线601不接触气体分配板30。此外,线601未曝露于气体通道中的部分可为绝缘的。为便于呈现,已将线601图示于开放通道317中,该开放通道317意指不具有多个开口的通道(如图4中所示)。然而,亦可将线601置放于多个开口后方的通道317内。
在图6中所示的该类型的实施例中,输入面301处的电力引线323(参见图3)必须具有相反极性,以允许电流流动。因此,一个电力引线323将为正且另一个电力引线323为负。此配置可相对容易地设置,其中单一电源连接至电力引线323中的两者。单一电源(未图示)可包括控制流经线的电流的机构,诸如,电位计。
在图7中所示的替代性详细实施例中,气体分配板由ABA配置组成且存在两个线。两个线中的每一线沿着前端第一前体端口A及后端第一前体气体端口A’中的一者延伸。因此,该等线中的每一线需要具有用于在整个线供应电流的单独的电源。此外,每一线将需要第二电力引线324,以与电源连接,来使电路完整。在一些实施例中,线沿着第二前体气体端口延伸至第二前体气体中的受激发物种。
一些实施例的线可为分立的热线单元的部分。可将热线单元经由输入面中的气体入口中的一者插入至气体分配板30中。在此等实施例中,将线、相关联的夹线箝、电力引线及张紧装置组合为单一单元。该单元可具有管状的或矩形横截面,且该单元经设定尺寸以安装至气体分配板内的气体通道。热线单元包括交替的气体入口(如图3中所示)及排出气流的开口。此举允许气体流经热线单元,从而接触线且自气体分配板的输出面排出。
在一些实施例中,气体分配板30包含多个狭长的气体端口,该多个狭长的气体端口基本上按次序由交替的第一前体气体A端口及第二前体气体B端口的至少两个重复单元组成,该等交替的第一前体气体A端口及第二前体气体B端口后面接着后端第一前体气体A’端口。换言之,第一前体气体A端口及第二前体气体B端口的组合重复至少两次,第一前体气体A端口及第二前体气体B端口的该组合可称为AB单元,之后具有后端第一前体气体A’端口。图8及图9图示此等类型的实施例。图8及图9中所示的气体分配板30仅图示与第一前体气体A及第二前体气体B相关联的通道317。仅出于说明性的目的,已省略净化气体端口及真空端口。此外,将通道317中的每一个图示为不具有图4中所见的多个开口的开放通道。本领域普通技术人员将理解,净化端口、真空端口及多个开口可存在于气体分配板30中。
图8具有两个重复AB单元及后端第一前体气体端口A’,从而产生ABABA配置。因此,每一全循环(基板穿过气流的一个往复移动)将产生B的四个层的沉积。图9类似于图8的配置,其中添加第三AB单元。此举使得气体分配板具有ABABABA配置。因此,每一全循环将产生B的六个层的沉积。将后端第一前体气体端口A’包括于此等配置中的每一配置中确保不管移动开始于气体分配板30的何侧,相对于气体分配板移动的基板都将在遇到第二前体气体端口之前遇到第一前体气体端口。尽管所示实施例包括两个或三个重复AB单元,但本领域普通技术人员将理解,在给定气体分配板30中可存在任何数目的重复AB单元。重复AB单元的数目可取决于气体分配板的尺寸而变化。在一些实施例中,存在范围为约2个至约128个的AB单元。在各种实施例中,存在至少约2个、3个、4个、5个、10个、15个、20个、25个、30个、35个、40个、45个或50个AB单元。此外,本领域普通技术人员将理解,此配置仅为说明性的且气体分配板可包含任何数目的气体注入器。举例而言,气体分配板可具有100个重复AB单元,之后有或无后端第一气体端口A’。
在一些实施例中,如图8及图9中所示,线601沿着第一前体气体端口中的每一个延伸。线可为单一线,该单一线卷绕穿过各个第一前体气体端口。在图8中,因为存在奇数个第一前体气体端口,所以第二电力引线324定位于后端第一前体气体A’端口的末端处。在图9中,因为存在偶数个第一前体气体端口,所以电力引线323的两个端子定位于气体分配板30的相同侧。尽管线图示于第一前体气体端口中,但将理解,线可沿着第二前体气体端口中的每一个延伸,代替或除第一前体气体端口中的线之外。此外,类似于图7,个别线可用于前体气体端口中的每一个。当使用个别线时,必须存在用于每一线的单独的正电力引线及负电力引线。
图10图示本发明的另一实施例,在该另一实施例中,线601安装于外罩1000内。外罩1000可经设定尺寸以安装在气体分配板30的通道317内,以便可容易地添加线601或自气体分配板30移除线601。外罩1000可附接至气体分配板30的输出面且定位成使得离开前体气体端口的气体穿过该外罩1000。外罩亦可包括电气引线1010,该等电气引线1010与线601电气连通,以允许电流流经线601。电气引线1010可与定位于气体分配板上的电触点相互作用。举例而言,电触点的对(正触点及负触点)可包括于气体分配板的通道中。此等电触点对中的每一个可个别地施加功率或作为一或更多单元施加功率。当将外罩1000插入至气体分配板的通道317中时,外罩上的电气引线1010形成与气体分配板上的电触点的电气连接,以便电流可流经线601。将线601并入至外罩1000中允许自处理腔室容易地移除线601,以进行更换或清洁。
将线601维持在选定的张力下或张力范围内。加热线将使该线膨胀且下垂。为补偿此下垂,可包括在图11的等角横截面图中图示的张紧装置325。张紧装置325连接至线601,以在该线601上提供张力。夹线箝1110固持与电力引线323连接的线601的第一末端(未图示接触)。衬套1130连接张紧装置325与气体端口,且衬套1130可提供气密密封,以便流动至气体端口中的前体气体不能够流动至张紧装置主体中。弹簧1120定位于衬套1130与夹线箝1110之间,以在线601上提供张力。尽管图示且描述弹簧1120,但应理解可采用其它张紧机构。
张紧装置325能够提供足够张力来防止线的显著下垂。此外,张紧装置325经配置以在在线提供比引起线的断裂所需要的张力更小的张力。如此说明书及随附权利要求中所使用的,术语“显著下垂”意指存在小于约0.1或小于约0.05或小于约0.01或小于约0.005或小于约0.0025的下垂与长度的比率。在各种实施例中,下垂在400mm长度内小于约4mm,或在400mm长度内小于约3mm,或在400mm长度内小于约2mm,或在400mm长度内小于约1mm,或在300mm长度内小于约4mm,或在300mm长度内小于约3mm,或在300mm长度内小于约2mm,或在300mm长度内小于约1mm。弹簧可用作张紧机构,因为材料及弹簧常数可经调整以匹配特定线参数(例如,材料、长度、粗细)的要求。
本发明的额外实施例是针对处理基板的方法。邻近本文所述的气体分配板30横向移动基板。可在气体分配板下方或者上方移动基板。将第一前体气体自第一前体气体端口输送至基板表面。将第二前体气体自第二前体气体端口输送至基板表面。将线定位于第一前体气体端口及第二前体气体端口中的一个或多个内。将功率施加于线,以使线的温度升高。将线升高至足够高的温度,以引起经过线的气体物种的激发。受激发物种与基板表面反应。
本发明的另一实施例是针对处理基板的方法。邻近气体分配板横向移动基板。气体分配板具有多个狭长的气体端口,该多个狭长的气体端口基本上按次序由前端第一前体气体端口、第二前体气体端口及后端第一前体气体端口组成。使基板的表面按次序顺序地与来自前端第一前体气体端口的第一前体气流、来自第二前体气体端口的第二前体气流及来自后端第一前体气体端口的第一前体气流接触。在气体接触基板的表面之前,通过将气体曝露至气流的路径内的高温线,来激发来自第一前体气体及第二前体气体中的任一者或两者的气体物种。
本发明的实施例可并入具有单一气体分配板的系统中。举例而言,一或更多实施例用于回转料架类型处理系统中,在该回转料架类型处理系统中,在邻近一或更多气体分配板的圆形或椭圆形路径中运输一或更多基板。此举可对高产量操作尤其有益。可并入所述气体分配板的适合设备可为任何形状且不限于线性或圆形处理路径。本领域普通技术人员将理解此情况,在该情况下,可采用此等气体分配板。
尽管本文已参阅特定实施例描述本发明,但应理解此等实施例仅说明本发明的原理及应用。本领域普通技术人员将显而易见,可对本发明的方法及设备进行各种修改及改变而不脱离本发明的精神及范畴。因此,意欲使本发明包括在随附权利要求及随附权利要求的等效物的范畴内的修改及改变。

Claims (15)

1.一种气体分配板,所述气体分配板包含:
一输入面,所述输入面包含一第一前体气体输入端及一第二前体气体输入端,所述第一前体气体输入端经配置以接收一第一前体气体的一流,所述第二前体气体输入端经配置以接收一第二前体气体的一流;
一输出面,所述输出面具有多个狭长的气体端口,所述多个狭长的气体端口经配置以将气流导向邻近所述输出面的一基板,所述狭长的气体端口包括至少一个第一前体气体端口及至少一个第二前体气体端口,所述至少一个第一前体气体端口与所述第一前体气体流动连通,且所述至少一个第二前体气体端口与所述第二前体气体流动连通;及
一线,所述线定位于所述第一前体气体端口及所述第二前体气体端口中的至少一者内,所述线连接至一电源,以加热所述线。
2.如权利要求1所述的气体分配板,所述气体分配板进一步包含一张紧装置,所述张紧装置连接至所述线,以提供一张力。
3.如权利要求2所述的气体分配板,其特征在于,所述张紧装置包含一弹簧。
4.如权利要求2所述的气体分配板,其特征在于,所述张力足以防止所述线的显著下垂及所述线的断裂。
5.如权利要求2所述的气体分配板,其特征在于,所述张紧装置附接至所述输入面。
6.如权利要求1-5的任一项所述的气体分配板,其特征在于,所述线包含钨。
7.如权利要求1-5的任一项所述的气体分配板,其特征在于,所述线处于一外罩内,所述外罩附接至所述输出面且定位成使得离开所述第一前体气体端口与所述第二前体气体端口中的一个或多个的气体穿过所述外罩。
8.如权利要求1-5的任一项所述的气体分配板,其特征在于,所述多个狭长的气体端口基本上按次序由一前端第一前体气体端口、一第二前体气体端口及一后端第一前体气体端口组成。
9.如权利要求1-5的任一项所述的气体分配板,其特征在于,所述多个狭长的气体端口基本上按次序由交替的第一前体气体端口及第二前体气体端口的至少两个重复单元组成,所述交替的第一前体气体端口及第二前体气体端口后面接着一后端第一前体气体端口。
10.如权利要求1-5的任一项所述的气体分配板,其特征在于,所述线沿着所述第一前体气体端口中的每一个或沿着所述第二前体气体端口中的每一个延伸。
11.如权利要求1-5的任一项所述的气体分配板,其特征在于,所述线可经加热以激发在整个线流动的一气体中的物种。
12.一种沉积系统,所述沉积系统包含一处理腔室,所述处理腔室具有如权利要求1-5的任一项所述的气体分配板。
13.一种处理一基板的方法,所述方法包含以下步骤:
在一气体分配板下方横向移动具有一表面的一基板,所述气体分配板包含多个狭长的气体端口,所述多个狭长的气体端口包括输送一第一前体气体的至少一个第一前体气体端口及输送一第二前体气体的至少一个第二前体气体端口;
将所述第一前体气体输送至所述基板表面;
将所述第二前体气体输送至所述基板表面;及
将功率施加于一线,以激发所述第一前体气体及所述第二前体气体中的一个或多个中的气体物种,所述线定位于所述至少一个第一前体气体端口及所述至少一个第二前体气体端口中的一个或多个内,所述受激发物种与所述基板的所述表面反应。
14.一种处理一基板的方法,所述方法包含以下步骤:
邻近一气体分配板横向移动所述基板,所述气体分配板具有多个狭长的气体端口,所述多个狭长的气体端口基本上按次序由一前端第一前体气体端口、一第二前体气体端口及一后端第一前体气体端口组成;
使所述基板的一表面按次序顺序地与来自所述前端第一前体气体端口的一第一前体气流、来自所述第二前体气体端口的一第二前体气流及来自所述后端第一前体气体端口的一第一前体气流接触;及
在接触所述基板的所述表面之前,通过将功率施加于一线,来激发所述第一前体气体及所述第二前体气体中的一个或多个中的一气体物种,所述线定位于所述前端第一前体气体端口与所述后端第一前体气体端口两者内或者所述第二前体气体端口内。
15.如权利要求13或14所述的方法,所述方法进一步包含以下步骤:调整所述线的张力,以防止所述线的实质下垂及断裂。
CN201280019433.0A 2011-04-22 2012-04-11 热线式原子层沉积设备及其使用方法 Pending CN103493179A (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201161478102P 2011-04-22 2011-04-22
US61/478,102 2011-04-22
US13/437,567 US20120269967A1 (en) 2011-04-22 2012-04-02 Hot Wire Atomic Layer Deposition Apparatus And Methods Of Use
US13/437,567 2012-04-02
PCT/US2012/033029 WO2012145205A2 (en) 2011-04-22 2012-04-11 Hot wire atomic layer deposition apparatus and methods of use

Publications (1)

Publication Number Publication Date
CN103493179A true CN103493179A (zh) 2014-01-01

Family

ID=47021538

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201280019433.0A Pending CN103493179A (zh) 2011-04-22 2012-04-11 热线式原子层沉积设备及其使用方法

Country Status (6)

Country Link
US (1) US20120269967A1 (zh)
JP (1) JP2014515790A (zh)
KR (1) KR20140031906A (zh)
CN (1) CN103493179A (zh)
TW (1) TW201243088A (zh)
WO (1) WO2012145205A2 (zh)

Families Citing this family (224)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011144412A (ja) * 2010-01-13 2011-07-28 Honda Motor Co Ltd プラズマ成膜装置
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
CN205177785U (zh) * 2013-03-14 2016-04-20 应用材料公司 处理腔室及用于将热线源耦接至该处理腔室的装置
TWI683382B (zh) * 2013-03-15 2020-01-21 應用材料股份有限公司 具有光學測量的旋轉氣體分配組件
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
KR102420015B1 (ko) * 2015-08-28 2022-07-12 삼성전자주식회사 Cs-ald 장치의 샤워헤드
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
FR3046800A1 (fr) * 2016-01-18 2017-07-21 Enhelios Nanotech Procede et dispositif de depot chimique en phase gazeuse a flux alternes.
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6640781B2 (ja) * 2017-03-23 2020-02-05 キオクシア株式会社 半導体製造装置
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2489912A (en) * 1941-12-13 1949-11-29 Westinghouse Electric Corp Method of producing tungsten alloys
US3846619A (en) * 1973-11-12 1974-11-05 Emerson Electric Co Open coil electric heater
US5620651A (en) * 1994-12-29 1997-04-15 Philip Morris Incorporated Iron aluminide useful as electrical resistance heating elements
US5833753A (en) * 1995-12-20 1998-11-10 Sp 3, Inc. Reactor having an array of heating filaments and a filament force regulator
US6190466B1 (en) * 1997-01-15 2001-02-20 General Electric Company Non-sag tungsten wire
US20030047282A1 (en) * 2001-09-10 2003-03-13 Yasumi Sago Surface processing apparatus
KR100829327B1 (ko) * 2002-04-05 2008-05-13 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치 및 반응 용기
KR100515052B1 (ko) * 2002-07-18 2005-09-14 삼성전자주식회사 반도체 기판상에 소정의 물질을 증착하는 반도체 제조 장비
US6821563B2 (en) * 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
DE10335470A1 (de) * 2003-08-02 2005-02-24 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Verfahren und Vorrichtung zur Beschichtung oder Modifizierung von Oberflächen
US20050155680A1 (en) * 2004-01-16 2005-07-21 Gyorgy Nagy High ductility, high hot tensile strength tungsten wire and method of manufacture
KR100688838B1 (ko) * 2005-05-13 2007-03-02 삼성에스디아이 주식회사 촉매 화학기상증착장치 및 촉매 화학기상증착방법
KR100700493B1 (ko) * 2005-05-24 2007-03-28 삼성에스디아이 주식회사 효율적인 필라멘트 배열 구조를 갖는 촉매 강화 화학 기상증착 장치
JP4948021B2 (ja) * 2006-04-13 2012-06-06 株式会社アルバック 触媒体化学気相成長装置
US20080314311A1 (en) * 2007-06-24 2008-12-25 Burrows Brian H Hvpe showerhead design
US8398770B2 (en) * 2007-09-26 2013-03-19 Eastman Kodak Company Deposition system for thin film formation
US8182608B2 (en) * 2007-09-26 2012-05-22 Eastman Kodak Company Deposition system for thin film formation
KR20090088056A (ko) * 2008-02-14 2009-08-19 삼성전기주식회사 가스공급 유닛 및 화학기상증착 장치
US8291856B2 (en) * 2008-03-07 2012-10-23 Tokyo Electron Limited Gas heating device for a vapor deposition system
US20110033638A1 (en) * 2009-08-10 2011-02-10 Applied Materials, Inc. Method and apparatus for deposition on large area substrates having reduced gas usage
US8117987B2 (en) * 2009-09-18 2012-02-21 Applied Materials, Inc. Hot wire chemical vapor deposition (CVD) inline coating tool
US20120225203A1 (en) * 2011-03-01 2012-09-06 Applied Materials, Inc. Apparatus and Process for Atomic Layer Deposition
US20130143415A1 (en) * 2011-12-01 2013-06-06 Applied Materials, Inc. Multi-Component Film Deposition
US20130164445A1 (en) * 2011-12-23 2013-06-27 Garry K. Kwong Self-Contained Heating Element

Also Published As

Publication number Publication date
TW201243088A (en) 2012-11-01
JP2014515790A (ja) 2014-07-03
WO2012145205A2 (en) 2012-10-26
US20120269967A1 (en) 2012-10-25
WO2012145205A3 (en) 2013-01-24
KR20140031906A (ko) 2014-03-13

Similar Documents

Publication Publication Date Title
CN103493179A (zh) 热线式原子层沉积设备及其使用方法
KR102642073B1 (ko) 웨이퍼 처리 장치를 위한 가스 분배 장치
CN108070846B (zh) 气体供应单元及包括气体供应单元的基板处理装置
US11208722B2 (en) Vapor flow control apparatus for atomic layer deposition
US7138336B2 (en) Plasma enhanced atomic layer deposition (PEALD) equipment and method of forming a conducting thin film using the same thereof
TWI589724B (zh) 熱絲化學氣相沉積腔室之噴頭設計
TWI599673B (zh) 沉積無氟/碳保形鎢之方法
US8197599B2 (en) Gas head and thin-film manufacturing apparatus
CN103988286A (zh) 自给自足式加热元件
US20070215036A1 (en) Method and apparatus of time and space co-divided atomic layer deposition
US20120225191A1 (en) Apparatus and Process for Atomic Layer Deposition
JP2019514208A (ja) ガスの均一な流れを提供する装置および方法
US20060137608A1 (en) Atomic layer deposition apparatus
US20100037820A1 (en) Vapor Deposition Reactor
KR20180070971A (ko) 기판 처리 장치
US20060249077A1 (en) Multiple inlet atomic layer deposition reactor
JP2004538374A (ja) 原子層堆積反応装置
JP2016511797A (ja) 空間分離原子層堆積のための装置およびプロセス閉じ込め
KR20150020528A (ko) 세장형 노즐을 갖는 cvd 및 ald를 위한 장치 및 사용 방법들
US20220270860A1 (en) Spatially controlled plasma
WO2010019008A2 (en) Vapor deposition reactor
JP2007059735A (ja) 半導体装置の製造方法および基板処理装置
KR20230100635A (ko) 가스 공급 유닛 및 이를 포함한 기판 처리 장치
CN114293174A (zh) 气体供应单元和包括气体供应单元的衬底处理设备
KR20140017903A (ko) 원자층 증착장치 및 그 증착방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20140101