CN103094325A - 半导体器件及其制造方法 - Google Patents

半导体器件及其制造方法 Download PDF

Info

Publication number
CN103094325A
CN103094325A CN2011103407529A CN201110340752A CN103094325A CN 103094325 A CN103094325 A CN 103094325A CN 2011103407529 A CN2011103407529 A CN 2011103407529A CN 201110340752 A CN201110340752 A CN 201110340752A CN 103094325 A CN103094325 A CN 103094325A
Authority
CN
China
Prior art keywords
dielectric layer
self
semiconductor device
assembled material
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2011103407529A
Other languages
English (en)
Other versions
CN103094325B (zh
Inventor
三重野文健
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Semiconductor Manufacturing International Corp
Semiconductor Manufacturing International Beijing Corp
Original Assignee
Semiconductor Manufacturing International Beijing Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Semiconductor Manufacturing International Beijing Corp filed Critical Semiconductor Manufacturing International Beijing Corp
Priority to CN201110340752.9A priority Critical patent/CN103094325B/zh
Priority to US13/401,819 priority patent/US8835213B2/en
Publication of CN103094325A publication Critical patent/CN103094325A/zh
Application granted granted Critical
Publication of CN103094325B publication Critical patent/CN103094325B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4983Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET with a lateral structure, e.g. a Polysilicon gate with a lateral doping variation or with a lateral composition variation or characterised by the sidewalls being composed of conductive, resistive or dielectric material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02307Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a liquid
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66553Unipolar field-effect transistors with an insulated gate, i.e. MISFET using inside spacers, permanent or not
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

本发明涉及半导体器件及其制造方法。根据本发明的一种半导体器件,包括:衬底,其具有有源区;在所述有源区上的栅极结构;以及栅极结构两侧的间隔物;所述栅极结构包括:栅极电介质层,其位于所述有源区上;金属栅极,其位于所述栅极电介质层上,以及竖直的侧墙,其位于所述栅极电介质层上并且在所述金属栅极和间隔物之间,其中所述侧墙由自组装材料形成。

Description

半导体器件及其制造方法
技术领域
本发明涉及半导体技术领域,尤其涉及半导体器件及其制造方法。
背景技术
随着半导体技术的持续发展,器件的关键尺寸不断降低。如本领域技术人员所知的,对于场效应晶体管制造工艺,存在后形成栅极(gate-last)和先形成栅极(gate-first)方法。另外,在半导体制造技术中越来越多地应用高介电常数(也称作高k(high-k))材料,以进一步减小器件尺寸。
高k材料的应用所带来的一个问题是寄生电容的增加,特别是对于其中高k材料形成了侧墙(side-wall)的情况更是如此。
在美国专利No.7670894中公开了一种选择性地沉积高k电介质膜的方法,如图1中所示意性地示出的。根据该专利文献的公开,对抗蚀剂101进行处理,以使得抗蚀剂表面带-O-CH3基团;然后,利用原子层沉积(ALD),以HfCl4和水蒸气(H2O)作为前体,选择性地沉积氧化铪层103。
然而,在该专利文献中,存在高k电介质形成的侧墙,从而增加了寄生电容。另一方面,在如此形成的侧墙上形成金属覆盖时,即使使用ALD来沉积也仍会造成覆盖的问题,例如会在沉积的金属201中形成空洞、造成开路等等,如图2中所示,从而降低了成品率。侧墙形状对于金属栅极材料的沉积是重要的,因此,该专利文献所公开的技术需要复杂的制造工艺。
因此,存在对减轻或解决上述问题的需求。针对此,发明人提出了新颖的富有创造性的半导体器件及其制造方法,以减轻或消除现有技术中的一个或更多个问题。
发明内容
本发明目的之一在于减轻或消除上述的一个或多个问题。
本发明的另一目的在于降低半导体器件中侧墙部分的电介质(例如,其可能作为栅极电介质)的k值(即,介电常数)。
本发明的进一步的目的在于消除高k电介质形成的侧墙,从而降低寄生电容。
本发明的又一目的在于改善应用了高k电介质材料的半导体器件及其制造工艺(特别是,后形成栅极(即,所谓的gate-last)工艺)中的金属膜覆盖。
本发明的再一目的在于提供一种半导体器件,其包括:衬底,其具有有源区;在所述有源区上的栅极结构;以及栅极结构两侧的间隔物;所述栅极结构包括:栅极电介质层,其位于所述有源区上;金属栅极,其位于所述栅极电介质层上,以及竖直的侧墙,其位于所述栅极电介质层上并且在所述金属栅极和间隔物之间,其中所述侧墙由自组装材料形成。
优选地,所述栅极电介质层由高k电介质形成。
优选地,所述高k电介质是铪的氧化物。
优选地,所述自组装材料包括CH3(CH2)xCH2SiCl3,其中,x为从6-10的整数,更优选地,x为8。
优选地,所述半导体器件进一步包括:导电的缓冲层,所述导电的缓冲层插入在所述栅极电介质层和所述金属栅极之间,并且在所述竖直的侧墙之间。
优选地,所述缓冲层由钛的氮化物、钽、钽的氮化物、氮化钽氮化铝合金、或氮化钛氮化铝合金形成。
优选地,所述间隔物由硅的氮化物形成。
优选地,所述半导体器件还包括:层间电介质层,其在所述衬底上,所述栅极结构形成在所述层间电介质层中。
优选地,所述层间电介质层的上表面与所述金属栅极的上表面基本齐平。
本发明的另一目的在于提供一种制造半导体器件的方法,包括以下步骤:(a)提供衬底,所述衬底具有有源区,在所述衬底上形成有层间电介质层,所述层间电介质层具有开口以露出有源区的部分表面,在所述开口的侧表面上形成有间隔物,在所述间隔物之间的有源区的表面上形成有第一电介质层;(b)形成自组装材料层以至少覆盖所述间隔物的表面和所述第一电介质层的表面;(c)去除自组装材料层的形成在所述第一电介质层的表面上的部分,并去除所述第一电介质层,以露出所述有源区的部分表面,并使得在间隔物表面上的自组装材料被保留作为侧墙;(d)选择性地在所述有源区的露出的表面上形成第二电介质层。
优选地,所述方法还包括:(e)在形成所述自组装材料层之前,对所述层间电介质的表面、所述间隔物的表面以及所述第一电介质的表面进行预处理,以使得在这些表面上形成悬挂键。
优选地,利用H2SO4/H2O2或SC-2溶液进行所述预处理,以使得在这些表面上形成氢氧根。
优选地,自组装材料层的所述部分是自组装材料的单层。
优选地,利用稀释的氢氟酸来进行所述自组装材料层的所述部分以及所述第一电介质层的去除。
优选地,所述第二电介质层由高k电介质材料形成。
优选地,所述高k电介质材料是铪的氧化物。
优选地,所述铪的氧化物由前体HfCl4和水蒸气制备而来。
优选地,所述铪的氧化物由前体Hf(Obu)4和O2制备而来。
优选地,所述自组装材料是CH3(CH2)xCH2SiCl3,其中,x为从6-10的整数,更优选地,x为8。
优选地,所述方法还包括:(f)以所述自组装材料层为掩模选择性地在所述第二电介质层上形成导电的缓冲层。
优选地,所述缓冲层由钛的氮化物(TiN)、钽(Ta)、钽的氮化物(TaN)、氮化钽氮化铝合金(TaAlN)、或氮化钛氮化铝合金(TiAlN)形成。
优选地,所述缓冲层由等离子化的含钛前体和含氮前体通过增强的原子层沉积形成,并且在所述形成过程中首先通入含钛的前体。
优选地,所述方法还包括:(g)在所述缓冲层上形成金属栅极。
优选地,所述层间电介质层的上表面与所述金属栅极的上表面基本齐平。
优选地,所述方法还包括:(h)在形成所述自组装材料层之后,进行退火。
尽管本发明在先进的半导体制造技术(例如,存储器、逻辑器件)中是特别有用的,然而本发明并不限于此。实际上,本发明具有广泛的应用范围。
从下面结合附图的具体描述,本发明的其他的优点、目的、方面将变得更加明了。
附图说明
本申请包含附图。附图与说明书一起用于说明本发明的原理。通过参考附图阅读下面的详细描述,将更好地理解本发明,在附图中:
图1是示出了现有技术中形成高k电介质层的步骤的示意图;
图2是示出了现有技术中所存在的问题之一的示意图;
图3是示出了根据本发明一个实施例的半导体器件的示意图;以及
图4-11是示出了根据本发明一个实施例的半导体器件的制造方法的示意图。
应当理解,这些附图仅仅是示例性的,而不是限制本发明的范围。在附图中,各组成部分并未严格按比例或严格按实际形状示出,其中的某些组成部分(例如,层或部件)可以被相对于其他的一些放大,以便更加清楚地说明本发明的原理。并且,那些可能导致使得本发明的要点模糊的细节并未在附图中示出。
具体实施方式
下面将结合附图说明本发明的实施例。
根据本发明的一个实施例,提供了一种半导体器件,如图3所示,该半导体器件包括衬底301。所述衬底可以具有有源区319。在某些实现方案中,有源区可以被沟槽隔离(STI)303隔开,然而本发明不限于此。例如,在某些应用中,也可以采用场氧隔离等。另外,有源区中可以形成有沟道区、源区、漏区、轻掺杂扩散区(LDD)等等,由于这些并非是本发明所关注的对象,因此,在图中并未示出并且省略了对其说明。
所述半导体器件还包括位于衬底301的有源区上的栅极结构以及在所述栅极结构两侧的间隔物309。
所述栅极结构包括位于有源区上的栅极电介质层311。优选地,栅极电介质层311由高k电介质(例如,铪的氧化物(HfO)等)形成。然而本发明并不限于此。所述栅极结构还包括位于所述栅极电介质层311上的金属栅极317。所述金属栅极可以由金属(诸如,铜(Cu)、铝等)或金属合金形成。
在某些实施方案中,所述半导体器件还可以包括在所述栅极电介质层上并且在所述竖直的侧墙之间的导电的缓冲层313。
所述栅极结构还包括竖直的侧墙(side wall)307,其位于所述栅极电介质层311上并且在所述金属栅极317和所述间隔物309之间。优选地,所述侧墙由自组装材料形成。
优选地,所述自组装材料可以包括CH3(CH2)xCH2SiCl3,其中,x为整数。优选地,x为6-10的整数,更优选地,x为8。
在本发明的一些实施例中,可以不去除SAM侧墙来形成该金属栅极,如图3中所示;而在另一些实施例,可以将该SAM侧墙去除。
间隔物309位于所述侧墙的外侧(即,相对于所述栅极电介质层的外侧)。间隔物309与栅极电介质层311和相应的侧墙307接触。优选地,所述间隔物由硅的氮化物(SiN)形成。
所述导电的缓冲层313优选可以由钛的氮化物(TiN)形成。然而,本发明不限于此,还可以利用例如钽(Ta)、钽的氮化物(TaN)、氮化钽氮化铝合金(TaAlN)、或氮化钛氮化铝合金(TiAlN)等,来形成所述导电的缓冲层313。如本领域技术人员将理解的,所述导电的缓冲层313可以用于后来形成的金属材料(例如,铜或铝等)的小丘(hillock)现象、增强金属材料和电介质材料之间的粘结等。
此外,在本发明的一些优选实施例中,所述半导体器件还可以包括层间电介质层315。层间电介质层315形成在所述衬底上,并且所述栅极结构形成在所述层间电介质层中。也就是说,层间电介质层315形成在所述间隔物的相对于所述栅极结构的外侧,邻接所述间隔物。优选地,所述层间电介质层315的上表面与所述金属栅极的上表面基本齐平,以例如使所产生的结构的表面平坦,从而有利于后续工艺。
下面结合图4-11来说明根据本发明一个实施例的半导体器件的制造方法。
如图4所示,提供衬底301。所述衬底具有有源区319。在衬底301上形成有层间电介质层315,在层间电介质层315中形成有开口以露出有源区的部分表面。在所述开口的侧表面上形成有间隔物309,在所述间隔物之间的有源区的表面上形成有第一电介质层305。
根据一个更具体的实施方案,本发明可以通过后形成栅极(gate-last)制造技术来提供如上所述的衬底。根据该实施方案,在衬底301的有源区上形成第一电介质层305和伪栅(dummy gate)(在图中未示出)。所述第一电介质层可以由硅的氧化物(例如,通过热氧化形成的硅的氧化物)形成,而所述伪栅可以由例如多晶硅形成;应当理解,本发明不限于此。在此,可以进行LDD区注入或扩展区注入等,以形成LDD区或扩展区。然后,形成对于伪栅和第一电介质层的间隔物309。优选地,间隔物309由硅的氮化物形成。优选地,在此可以利用自对准工艺进行源区和漏区注入。之后,沉积第一层间电介质层315,例如通过CVD或PECVD等由硅的氧化物形成。并进行CMP,从而基本露出伪栅的上表面。如此,形成图4中所示的衬底结构。应当理解,后形成栅极的制造技术是本领域中已知的。并且,本发明并不限于利用后形成栅极技术来形成图4中所示的结构。另外,还应当理解,用于上述的各层或部件的材料仅仅是示例性的或者是典型的,而本发明并不限于此。
接着,形成自组装材料(SAM)层501以至少覆盖所述间隔物309的表面和所述第一电介质层305的表面,如图5中所示。
在本发明的一种实现方案中,可以使用化学式为CH3(CH2)xCH2SiCl3的自组装材料,其中,x为整数。这里,可以对x进行调整,以优化用于去除下面的第一层间电介质层305的条件并使得自组装材料能够保留在间隔物上。优选地,x为6-10的整数,更优选地,x为8。
可以将如上述形成的上面形成有第一电介质层305、间隔物309以及层间电介质315的衬底(或者,可以将其整体称作中间结构)置于正癸基三氯硅烷(n-decyl trichlorosilane)/乙醇溶液中30分钟-24小时,来形成所述自组装材料层501。应当理解,形成自组装材料层501的方法不限于此。例如可以通过旋涂(spin coating)、浸涂(dipping)、或液滴排放法(droplet discharging)等来在上述的衬底(中间结构)上形成上述溶液的涂层。
优选地,可以使自组装材料层的在第一电介质层305上所形成的部分503较薄,例如,可以是自组装材料的单层(mono-layer),而在自组装材料层的在间隔物的表面上所形成的部分较厚。
这里,优选地,在形成自组装材料层501之前,对如上所述形成的衬底(中间结构)进行预处理,也即,对所述层间电介质的表面、所述间隔物的表面以及所述第一电介质的表面进行预处理,以使得这些表面形成悬挂键。根据本发明的一个更具体的实施方案,可以利用硫酸/过氧化氢(H2SO4/H2O2)溶液或SC-2溶液(即,盐酸/过氧化氢/水(HCl/H2O2/H2O))来进行所述预处理。通过所述预处理,可以使被处理的表面(特别是,间隔物的表面)上形成氢氧根(-OH),如图6所示意性地示出的。
可以在进行该预处理之后,形成所述自组装材料层501,从而使得自组装材料层的在第一电介质层305上所形成的部分较薄,例如,可以是单层(mono-layer),而在自组装材料层的在间隔物的表面上所形成的部分较厚。
可选地,可以在形成自组装材料层501之后进行退火,例如在110摄氏度退火一分钟。之后,去除自组装材料层501的形成在所述第一电介质层305的表面上的部分503,并去除所述第一电介质层305。从而,露出所述有源区的部分表面,并形成竖直的由自组装材料形成的侧墙307,如图7所示。这里,可以采用稀释的氢氟酸(DHF),例如含1wt%的氢氟酸的水溶液,来去除所述自组装材料层501的形成在所述第一电介质层305的表面上的部分和所述第一电介质层305。
这里,由于在第一电介质层305上形成了自组装材料的薄层(例如,单层),而该薄层(单层)是具有脆弱点(weak point)的,因此,DHF可以被引入到下面的第一电介质层,从而利用DHF来去除该单层以及下面的第一电介质层。应当理解,该去除所使用的溶液或方法并不限于利用DHF,而是可以根据侧墙和第一电介质层的材料来选择不同的溶液或方法,只要使得自组装材料形成的侧墙307得以保留即可。
另外,尽管在图7中,自组装材料层被示出也保留在层间电介质层的表面上,然而应当理解,其是否保留在层间电介质层的表面上并非是本发明关注的重点。
之后,如图8中所示选择性地在所述有源区的露出的表面上形成第二电介质层311。
优选地,第二电介质层311由高k电介质材料形成,例如由稀土元素的氧化物形成,或者更具体地,可以由诸如铪的氧化物(HfO)等材料形成,然而本发明不限于此。该第二电介质层311可以作为栅极电介质层。
在此,可以通过例如原子层沉积(ALD)来形成该高k电介质层311。可以利用含氯前体以及含氧的前体来制备所述高k电介质材料。例如,在本发明的一个优选实施方案中,以铪的氯化物(HfCl4)和水蒸气作为前体,通过原子层沉积(ALD)或者等离子增强型ALD等,来选择性地在有源区的露出的表面上形成HfO层,也就是说,不形成在自组装材料层的表面上。在本发明的另一优选实施方案中,以前体Hf(Obu)4和O2来来选择性地在有源区的露出的表面上形成HfO层。Hf(Obu)4是本领域中常用的技术术语,其代表性地可以被表示Hf(OC4H9)4
另外,尽管在图8中,第二电介质层311被示出为上表面恰好与侧墙307的下端(或下端表面)邻接,然而应当理解,这仅仅是示意性的,本发明并不限于此。
从而,根据本发明,可以降低侧墙的介电常数,或者说,消除了高k材料所形成的侧墙。
之后,优选地,以所述自组装材料层为掩模,选择性地在所述第二电介质层上形成导电的缓冲层313,如图9所示。所述导电的缓冲层313可以由例如TiN形成,然而本发明并不限于此。根据本发明的一个更具体的实施方案,可以利用等离子增强的原子层沉积技术来在选择性地在所述第二电介质层上形成导电的缓冲层313。例如,利用等离子化的含钛前体(例如,TiCl4,流速300sccm,氩气(Ar)流速1slm)和等离子化的含氮前体(例如,NH3,流速500sccm,氩气(Ar)流速1slm),等离子功率300W,在0.05Torr-0.15Torr的压力下,在室温(RT)至150摄氏度的温度,利用增强的原子层淀积来选择性地在所述第二电介质层上形成导电的缓冲层313。在此,优选地,首先向处理腔室中引入含钛前体。
之后,在衬底上形成金属材料层1001,例如通过沉积或溅射,以至少填充满由所述缓冲层上方的开口,如图10所示。然后,如图11所示,对金属材料层1001进行化学机械抛光(CMP),直至露出层间绝缘层的表面,以使得金属栅极317的上表面与层间电介质层315的上面基本齐平,以利于后续工艺。从而,在所述缓冲层上形成了金属栅极317。
根据本发明的另一实施例,可以在形成所述栅极绝缘层311之后,移除所述自组装材料层501。之后,可以按照常规工艺在衬底上形成缓冲层以覆盖栅极电介质层311、间隔物309以及层间电介质层315的表面,之后在所述缓冲层上形成金属栅极材料层。然后,可以对金属栅极材料层进行CMP,以使得金属栅极317的上表面与层间电介质层315的上面基本齐平。
根据本发明,减轻或消除现有技术中的一个或多个问题。并且,降低半导体器件中侧墙部分的电介质的k值(即,介电常数),乃至消除了由高k电介质形成的侧墙,从而降低寄生电容。另外,本发明还改善了应用高k电介质材料的半导体器件及其制造工艺(特别是,后形成栅极工艺)中的金属膜覆盖。
以上参考附图描述了本发明的实施例。然而,应当理解,这些实施例仅是示例性,而不是对本申请权利要求的限制。本发明的实施例可以自由地进行组合,而不超出本发明的范围。另外,本领域技术人员根据本发明的教导可以对本发明的实施例和细节等进行多种修改而不偏离本发明的范围。因此,所有这些修改都被包括在下面的权利要求所限定的本发明的精神和范围内。

Claims (26)

1.一种半导体器件,包括:
衬底,其具有有源区;
在所述有源区上的栅极结构;以及
栅极结构两侧的间隔物;
所述栅极结构包括:
栅极电介质层,其位于所述有源区上;
金属栅极,其位于所述栅极电介质层上,以及
竖直的侧墙,其位于所述栅极电介质层上并且在所述金属栅极和间隔物之间,
其中所述侧墙由自组装材料形成。
2.如权利要求1所述的半导体器件,其中所述栅极电介质层由高k电介质形成。
3.如权利要求1所述的半导体器件,其中所述高k电介质是铪的氧化物。
4.如权利要求1所述的半导体器件,其中所述自组装材料包括CH3(CH2)xCH2SiCl3,其中,x为从6-10的整数。
5.如权利要求4所述的半导体器件,其中x为8。
6.如权利要求1所述的半导体器件,进一步包括:
导电的缓冲层,所述导电的缓冲层插入在所述栅极电介质层和所述金属栅极之间,并且在所述竖直的侧墙之间。
7.如权利要求6所述的半导体器件,其中所述缓冲层由钛的氮化物、钽、钽的氮化物、氮化钽氮化铝合金、或氮化钛氮化铝合金形成。
8.如权利要求1所述的半导体器件,所述间隔物由硅的氮化物形成。
9.如权利要求1所述的半导体器件,还包括:
层间电介质层,其在所述衬底上,所述栅极结构形成在所述层间电介质层中。
10.如权利要求9所述的半导体器件,其中所述层间电介质层的上表面与所述金属栅极的上表面基本齐平。
11.一种制造半导体器件的方法,包括以下步骤:
(a)提供衬底,所述衬底具有有源区,在所述衬底上形成有层间电介质层,所述层间电介质层具有开口以露出有源区的部分表面,在所述开口的侧表面上形成有间隔物,在所述间隔物之间的有源区的表面上形成有第一电介质层;
(b)形成自组装材料层以至少覆盖所述间隔物的表面和所述第一电介质层的表面;
(c)去除自组装材料层的形成在所述第一电介质层的表面上的部分,并去除所述第一电介质层,以露出所述有源区的部分表面,并使得在间隔物表面上的自组装材料被保留作为侧墙;
(d)选择性地在所述有源区的露出的表面上形成第二电介质层。
12.如权利要求11所述的方法,还包括:
(e)在形成所述自组装材料层之前,对所述层间电介质的表面、所述间隔物的表面以及所述第一电介质的表面进行预处理,以使得在这些表面上形成悬挂键。
13.如权利要求12所述的方法,其中利用H2SO4/H2O2或SC-2溶液进行所述预处理,以使得在这些表面上形成氢氧根。
14.如权利要求11所述的方法,其中,自组装材料层的所述部分是自组装材料的单层。
15.如权利要求11所述的方法,其中,利用稀释的氢氟酸来进行所述自组装材料层的所述部分以及所述第一电介质层的去除。
16.如权利要求11所述的方法,其中,所述第二电介质层由高k电介质材料形成。
17.如权利要求16所述的方法,其中,所述高k电介质材料是铪的氧化物。
18.如权利要求17所述的方法,其中所述铪的氧化物由前体HfCl4和水蒸气制备而来。
19.如权利要求17所述的方法,其中所述铪的氧化物由前体Hf(Obu)4和O2制备而来。
20.如权利要求11所述的方法,其中所述自组装材料是CH3(CH2)xCH2SiCl3,其中,x为从6-10的整数。
21.如权利要求20所述的方法,其中x为8。
22.如权利要求11所述的方法,还包括:
(f)以所述自组装材料层为掩模选择性地在所述第二电介质层上形成导电的缓冲层。
23.如权利要求22所述的方法,其中所述缓冲层由钛的氮化物(TiN)、钽(Ta)、钽的氮化物(TaN)、氮化钽氮化铝合金(TaAlN)、或氮化钛氮化铝合金(TiAlN)形成。
24.如权利要求22所述的方法,其中所述缓冲层由等离子化的含钛前体和含氮前体通过增强的原子层沉积形成,并且在所述形成过程中首先通入含钛的前体。
24.如权利要求22所述的方法,还包括:
(g)在所述缓冲层上形成金属栅极。
25.如权利要求24所述的方法,其中所述层间电介质层的上表面与所述金属栅极的上表面基本齐平。
26.如权利要求11所述的方法,还包括:
(h)在形成所述自组装材料层之后,进行退火。
CN201110340752.9A 2011-11-02 2011-11-02 半导体器件及其制造方法 Active CN103094325B (zh)

Priority Applications (2)

Application Number Priority Date Filing Date Title
CN201110340752.9A CN103094325B (zh) 2011-11-02 2011-11-02 半导体器件及其制造方法
US13/401,819 US8835213B2 (en) 2011-11-02 2012-02-21 Semiconductor device and manufacturing method thereof

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201110340752.9A CN103094325B (zh) 2011-11-02 2011-11-02 半导体器件及其制造方法

Publications (2)

Publication Number Publication Date
CN103094325A true CN103094325A (zh) 2013-05-08
CN103094325B CN103094325B (zh) 2016-08-10

Family

ID=48171526

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201110340752.9A Active CN103094325B (zh) 2011-11-02 2011-11-02 半导体器件及其制造方法

Country Status (2)

Country Link
US (1) US8835213B2 (zh)
CN (1) CN103094325B (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103943481A (zh) * 2014-04-22 2014-07-23 上海华力微电子有限公司 改善器件负偏压温度不稳定性的方法
CN106716644A (zh) * 2014-09-26 2017-05-24 英特尔公司 用于半导体器件的选择性栅极间隔体
CN108695388A (zh) * 2017-04-07 2018-10-23 台湾积体电路制造股份有限公司 半导体元件及其制造方法

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9761436B2 (en) 2014-06-30 2017-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming patterns using multiple lithography processes
US9711369B2 (en) * 2015-03-16 2017-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming patterns with sharp jogs
KR20170059199A (ko) * 2015-11-20 2017-05-30 삼성전자주식회사 유기 박막 트랜지스터의 제조방법, 유기 박막 트랜지스터, 그리고 박막의 표면 처리 장치
US10361133B2 (en) * 2017-09-18 2019-07-23 Taiwan Semiconductor Manufacturing Co., Ltd. High-K metal gate and method for fabricating the same
US10483168B2 (en) * 2017-11-15 2019-11-19 Taiwan Semiconductor Manufacturing Co., Ltd. Low-k gate spacer and formation thereof
US11049805B2 (en) * 2018-06-29 2021-06-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and method
US11201060B2 (en) * 2019-04-17 2021-12-14 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with metal gate stack
US11139397B2 (en) * 2019-09-16 2021-10-05 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned metal compound layers for semiconductor devices

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6127712A (en) * 1998-05-22 2000-10-03 Texas Instruments--Acer Incorporated Mosfet with buried contact and air-gap gate structure
JP2004363628A (ja) * 2004-08-23 2004-12-24 Toshiba Corp 半導体装置及びその製造方法
CN101752317A (zh) * 2008-11-14 2010-06-23 台湾积体电路制造股份有限公司 制造半导体装置的方法
CN101952947A (zh) * 2007-10-09 2011-01-19 国际商业机器公司 自组装侧壁间隙壁

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7670894B2 (en) * 2008-04-30 2010-03-02 Intel Corporation Selective high-k dielectric film deposition for semiconductor device

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6127712A (en) * 1998-05-22 2000-10-03 Texas Instruments--Acer Incorporated Mosfet with buried contact and air-gap gate structure
JP2004363628A (ja) * 2004-08-23 2004-12-24 Toshiba Corp 半導体装置及びその製造方法
CN101952947A (zh) * 2007-10-09 2011-01-19 国际商业机器公司 自组装侧壁间隙壁
CN101752317A (zh) * 2008-11-14 2010-06-23 台湾积体电路制造股份有限公司 制造半导体装置的方法

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
C. BOULAS ET.AL: "Ultra-low conductivity through insulating self-assembled organic monolayers", 《MICROELECTRONIC ENGINEERING》 *

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103943481A (zh) * 2014-04-22 2014-07-23 上海华力微电子有限公司 改善器件负偏压温度不稳定性的方法
CN103943481B (zh) * 2014-04-22 2017-05-24 上海华力微电子有限公司 改善器件负偏压温度不稳定性的方法
CN106716644A (zh) * 2014-09-26 2017-05-24 英特尔公司 用于半导体器件的选择性栅极间隔体
CN108695388A (zh) * 2017-04-07 2018-10-23 台湾积体电路制造股份有限公司 半导体元件及其制造方法
CN108695388B (zh) * 2017-04-07 2021-09-10 台湾积体电路制造股份有限公司 半导体元件及其制造方法
US11289589B2 (en) 2017-04-07 2022-03-29 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof

Also Published As

Publication number Publication date
US20130105918A1 (en) 2013-05-02
US8835213B2 (en) 2014-09-16
CN103094325B (zh) 2016-08-10

Similar Documents

Publication Publication Date Title
CN103094325B (zh) 半导体器件及其制造方法
CN102737974B (zh) 制造多个栅极结构的方法
TWI624060B (zh) 具有鎢閘極電極的半導體裝置及其製造方法
CN105304565B (zh) 半导体器件及其形成方法
CN102738221B (zh) 制造栅极介电层的方法
JP2004253767A (ja) デュアルゲート構造およびデュアルゲート構造を有する集積回路の製造方法
CN104779147B (zh) 一种金属栅极结构及其制备方法
CN106409677B (zh) 半导体器件及其形成方法
US10032625B2 (en) Method of forming a semiconductor device comprising titanium silicon oxynitride
CN100517618C (zh) 半导体器件及其制造方法
CN104218000B (zh) 晶体管及其形成方法
CN108878529A (zh) 半导体器件及其制造方法
TW201732955A (zh) 場效電晶體
CN103730418A (zh) 一种制作半导体器件的方法
US8633119B2 (en) Methods for manufacturing high dielectric constant films
CN103794502A (zh) 半导体器件及其制造方法
US20110254060A1 (en) Metal Gate Structure and Fabricating Method thereof
CN104681440A (zh) 一种半导体器件及其制备方法
US8633114B2 (en) Methods for manufacturing high dielectric constant films
CN102064103A (zh) 高k栅介质层的制备方法
CN106328529B (zh) Mos晶体管及其形成方法
CN103137456A (zh) Pmos晶体管金属栅极的制造方法
JP2009111072A (ja) 半導体装置及びその製造方法
JP4445484B2 (ja) 半導体装置及びその製造方法
CN103165441A (zh) 一种High K栅极电介质/金属层叠栅极制作方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant