CN102969230A - 用于临界尺寸缩减和节距缩减的系统及方法 - Google Patents

用于临界尺寸缩减和节距缩减的系统及方法 Download PDF

Info

Publication number
CN102969230A
CN102969230A CN2012103397786A CN201210339778A CN102969230A CN 102969230 A CN102969230 A CN 102969230A CN 2012103397786 A CN2012103397786 A CN 2012103397786A CN 201210339778 A CN201210339778 A CN 201210339778A CN 102969230 A CN102969230 A CN 102969230A
Authority
CN
China
Prior art keywords
opening
mask layer
mask
logic
pattern
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN2012103397786A
Other languages
English (en)
Inventor
罗伯特·沙拉安
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN102969230A publication Critical patent/CN102969230A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electroluminescent Light Sources (AREA)
  • Paper (AREA)
  • Printing Plates And Materials Therefor (AREA)

Abstract

本发明涉及用于临界尺寸缩减和节距缩减的系统及方法。一种形成特征的方法,包括在下层上形成第一材料的掩模,该掩模具有未修正的形貌,修正该掩模的形貌,并且在该下层中形成特征。还公开了一种用于形成特征的系统。

Description

用于临界尺寸缩减和节距缩减的系统及方法
本申请是中国专利申请号为200680024154.8(国际申请号PCT/US 2006/022890),申请日为2006年6月12日,发明名称为“用于临界尺寸缩减和节距缩减的系统及方法”,申请人为朗姆研究公司的中国专利申请的分案申请。
技术领域
本发明总体上涉及在基片中形成器件,更具体地,涉及用于在光刻系统和处理中缩减临界尺寸和缩减节距的方法以及系统。
背景技术
缩减器件尺寸(即,器件的临界尺寸)和增加器件密度(即,节距缩减)是半导体制造领域中的永恒目标。这些目标帮助降低所形成的半导体器件的功率消耗和成本,同时也增进了半导体器件的性能。不幸的是,缩减的临界尺寸和/或缩减的节距往往要求新的并且昂贵的设备来达到这些目标。作为示例,如果光刻系统为形成具有约0.4微米临界尺寸的器件优化,而期望的临界尺寸为约0.3微米(缩小约25%的临界尺寸),那么必须替代和/或较大地改造该光刻系统以精确地达到0.3微米的临界尺寸。
另外,可在相同面积的基片上形成更多具有0.3微米临界尺寸的器件(即,节距可被缩减)。作为示例,如果每个器件具有0.4微米的临界尺寸和约0.4微米的器件间节距,则在约24微米的宽度内可形成约30个器件。作为对比,如果每个器件具有0.3微米的临界尺寸和约0.3微米的器件间节距,则在相同的24微米的宽度内可形成约40个器件。必须替代和/或较大地改造为形成具有约0.4微米临界尺寸器件优化的光刻系统以精确地达到0.3微米的临界尺寸。
因此,对缩减的临界尺寸和减小的器件节距的持续驱动,增加了生产半导体的成本。从前述的观点看,需要一种系统和方法,用于扩展光刻处理的能力,从而允许缩减临界尺寸和缩减器件节距。
发明内容
概括地说,本发明通过提供一种用于扩展光刻处理的能力以允许缩减临界尺寸和缩减器件节距的系统和方法,满足了这些需要。应当理解,本发明可以多种方式实现,包括作为处理方法、装置、系统、计算机可读介质、或设备。以下描述本发明的数个创新性的实施例。
一个实施例提供了一种用于形成特征(feature,结构)的方法。该方法包括在下层上形成第一材料的掩模,该掩模具有未修正的形貌。修正该掩模的形貌以及在该下层中形成特征。还可包括去除该掩模。
在该下层上形成该掩模可包括利用光刻处理形成该掩模。该光刻处理为第一临界尺寸优化,并且该掩模具有大体上小于该第一临界尺寸的第二临界尺寸。
在该下层上形成该掩模可包括利用光刻处理形成该掩模,该光刻处理为第一密度优化,并且该掩模具有大体上大于该第一密度的第二密度。
修正该掩模的形貌可包括去除该掩模侧边的第一部分。去除该掩模侧边的第一部分可包括低压蚀刻处理或选择性沉积处理中的至少一种。该低压蚀刻处理可包括小于大约70毫托的蚀刻处理压力。该选择性沉积处理可包括大于大约50毫托的沉积处理压力。
修正该掩模的形貌可包括增加第二部分材料到该掩模的侧边。增加第二部分材料到该掩模的侧边可包括低压蚀刻处理或选择性沉积处理中的至少一种。
该方法还可包括缩小该掩模的修正的形貌。缩小该掩模的修正的形貌可包括增加第三部分材料到该掩模的侧边。在该下层形成的特征大体上等于或小于该缩小的掩模。
另一个实施例提供了一种用于形成特征的方法。该方法包括在下层上形成第一材料的掩模。该掩模具有未修正的形貌,并且该掩模利用光刻处理形成。该光刻处理为第一临界尺寸优化,并且该掩模具有大体上小于该第一临界尺寸的第二临界尺寸。修正该掩模的形貌,包括去除该掩模侧边的第一部分以及增加第二部分材料到该掩模的侧边。可在该下层中形成特征。可与该第二部分材料被添加到该掩模的侧边基本上同时,从该掩模的侧边去除该第一部分。
又一个实施例提供了一种用于在基片中形成器件的系统。该系统包括处理室,用于装入基片以用于处理。该处理室连接到气体歧管和控制器。多个处理气体源流动连接到该气体歧管。该气体歧管连接到该控制器,并且该控制器包括配方(recipe)。该配方包括用于修正在该基片上形成的掩模形貌的逻辑,该掩模由第一材料形成。
该用于修正该掩模形貌的逻辑包括用于去除该掩模侧边的第一部分的逻辑以及用于增加第二部分材料到该掩模侧边的逻辑。该配方还可包括用于缩小该修正的掩模形貌的逻辑。
本发明的其它方面和优点将会由以下结合附图的详细描述而变得显而易见,这些附图作为示例说明本发明的原理。
附图说明
通过以下结合附图的具体说明,本发明将更易于理解。
图1A是根据本发明的一个实施例的在半导体基片上形成的掩模的横截面示意图;
图1B是根据本发明的一个实施例的利用该掩模形成的特征的横截面示意图;
图1C是根据本发明的一个实施例的特征的横截面示意图;
图1D是根据本发明的一个实施例的由0.4微米优化的光刻处理形成的0.3微米掩模的横截面示意图;
图1E是根据本发明的一个实施例的由0.3微米掩模形成的特征的横截面示意图;
图1F是根据本发明的一个实施例的掩模形貌的详细的示意图;
图2是根据本发明的一个实施例的用于修正该掩模形貌的方法操作的流程图;
图3A和3B是根据本发明的一个实施例的在下方中间层中形成的特征的横截面示意图;
图4是根据本发明的一个实施例的在下方中间层中形成的特征的横截面示意图;
图5是根据本发明的一个实施例的用于缩小掩模的方法操作的流程图;
图6是根据本发明的一个实施例的用于修正掩模形貌的气体调制的方法操作的流程图;
图7是根据本发明的一个实施例的系统的方框图。
具体实施例
现在将描述用于扩展光刻处理的能力以允许缩减临界尺寸和缩减器件节距的系统和方法的多个示范性实施例。本领域的技术人员容易理解,没有此处描述的某些或所有细节也可以实现本发明。
此处描述的多个实施例提供了一种用于增强现有光刻处理和系统的性能的系统及方法。结果,光刻处理和系统可用于形成具有更小临界尺寸和增加的器件密度的半导体器件。
图1A是根据本发明的一个实施例的在半导体基片102上形成的掩模104A的横截面示意图100。使用为形成具有约0.4微米临界尺寸(即,宽度)或更大特征(即,0.4微米处理)的器件而优化的光刻处理来形成该掩模104A。该0.4微米处理在掩模材料104中形成特征104B。通常,该0.4微米处理包括施加到光刻胶掩模材料104(或其它光敏材料)的光刻亚处理。掩模材料104的暴露部分104A通过暴露于光线而转变。非暴露部分(未示出)在后续的清洗处理中被去除,以在掩模104A之间形成特征104B。
各被去除部分104B具有约0.4微米的宽度。各被去除部分104B被掩模104A分开,其等于或大于约0.4微米的宽度。
用于形成掩模104A的光刻处理被优化以形成具有约0.4微米或更大宽度以及具有约0.4微米或更大间隔的密度的掩模。因此,掩模104A具有最佳形貌。该最佳形貌掩模104A具有大体上垂直的侧边。作为示例,掩模104A的侧边与掩模层104的顶部表面形成约75到约90度之间的角θ。另外,在该去除部分,大体上掩模层104内的所有材料被去除,使得最佳形貌掩模104A具有大体上平行于掩模层104顶部表面的底部表面104C。
图1B是根据本发明的一个实施例的使用掩模104A形成的特征102A的横截面示意图100’。基片101具有形成于其上的中间层102。掩模104A形成在中间层102的顶部表面上,如以上图1A中所描述。可使用蚀刻处理在中间层102中形成特征102A。
掩模104A的形状帮助确保所得到的特征102A的形状。作为示例,如果掩模104A具有最佳形貌,那么在很多情况下,得到的特征102A也具有大体上相同宽度的最佳形貌。类似地,如果掩模104A不具有最佳形貌,那么得到的特征102A同样非常可能具有不够最佳的形貌(例如,不够最佳的和/或不一致的深度和/或宽度)。
图1C是根据本发明的一个实施例的特征102A的横截面示意图100”。当掩模104A如上图1B中所述用于形成特征102A后,不再需要该掩模。如图1C所示,掩模104A已被去除。通常,通过化学机械平面化(planarization)或选择性蚀刻处理或任何其他合适的处理方法去除该掩模,以去除掩模104A。然后基片准备进行后续处理。作为示例,特征102A可被填充导电材料(例如,铜、铜合金或其它导电材料),以形成导电迹线(conductive trace)或过孔或其它器件。
由于该光刻处理被优化以形成具有约0.4微米或更大宽度以及约0.4微米或更大间隔的特征102A,那么该光刻处理不能精确地形成具有宽度或密度小于0.4微米的特征。图1D是根据本发明的一个实施例的由0.4微米优化的光刻处理所形成的0.3微米掩模108A的横截面示意图。图1F是根据本发明的一个实施例的掩模108A的形貌的详细的示意图。因为0.4微米优化的光刻处理未被优化以生产具有约0.3微米宽度的掩模108A优化,所以掩模108A不具有最佳形貌。作为示例,去除的部分108B的底部108C被圆化,或者甚至被尖锐化(pointed),而不能大体上平行于上部层104的顶部表面。另外,该掩模108A具有多个侧边,其与掩模层104的顶部表面形成小于约75度的角θ’。
图1E是根据本发明的一个实施例的由该0.3微米掩模108A形成的特征118A-F的横截面示意图120’。如所示,在中间层102中形成的特征118A-F具有非常不一致和不对称的形貌、深度和宽度。另外,特征118A-F钻蚀(undercut)掩模108A。更进一步,特征118A-F的开口过于狭窄,并具有不一致的宽度。一旦去除掩模108A,特征118A-F的不一致的开口的宽度会导致该特征不一致的填充,并因此提供了对下层101的不良接触和/或对随后可能在填充的特征118A-F顶部上形成的导电层的不良接触。
总的来说,掩模108A具有残留在被去除的部分108B底部108C的不期望的多余的材料124。另外,从掩模108A的顶部部分去除过多的材料122,这导致了特征顶部边缘的磨圆(rounding-off)。如果掩模108A用于尝试在中间层102内形成特征(例如,特征102A),那么所得到的特征将不具有可预料的和最佳的形貌,因为掩模108A的形貌不是最佳的。
本发明的一个实施例提供了用于将掩模108A的形貌修正为最佳形貌形状的系统和方法。修正掩模108A的形貌可包括取代从掩模108A的顶部边缘去除的材料122。修正掩模108A的形貌还可包括去除残留在去除的部分108B底部108C的不期望的多余材料124。
图2是根据本发明的一个实施例的用于修正掩模108A的形貌的方法操作200的流程图。在操作205,掩模在下层上形成。该掩模可具有未修正的形貌(例如,掩模108A)。
在操作210,修正掩模108A的形貌。可通过在掩模108A的顶部部分122增加材料来修正该形貌。修正掩模108A的形貌还可包括从掩模108A的底部部分去除额外的材料124。修正掩模108A的形貌,直至其具有期望的形貌。作为示例,如果掩模108C的底部被充分地清除掉材料(例如,类似于图1E的底部108C),但是,过多的材料122已经被从掩模108A的顶部部分去除,那么仅该部分材料122可添加到掩模108A,以修正形貌。掩模108A的形貌可经过气体调制被修正,这将在下面更详细地描述。
可通过应用或沉积具有不均一(例如,依赖于深度)黏附系数的材料到该掩模的侧边,来修正掩模108A的形貌。作为示例,沉积具有不均一黏附系数的材料可比在该掩模底部108C更快速地聚集到该掩模的顶部部分122上。结果是,该不均一黏附系数材料可取代在掩模108A的顶部部分的材料122。
改变等离子体条件可改变沉积在掩模108B开口内不同位置的材料的量。例如,通过增加氢对碳氟化合物的比率,可从净蚀刻(netetching)等离子体转变为净沉积(net depositing)等离子体。另外的处理参数,例如氩流量(Argon flow)和压力,可用于控制在形貌侧壁(例如,材料122)和底部108(例如,材料124)发生的沉积和蚀刻的相对量。更通常地,为得到具有很少或没有侧壁沉积的定向蚀刻,应用低压(例如,小于约70毫托)、不含氢的等离子体是有益的,同时利用采用较高压力和氢流量的等离子体处理,可实现净沉积条件。具有净蚀刻特性的配方(recipe)的一个代表性的示例为:约30毫托的处理室压力,并且等离子体功率在27MHz为约800瓦特,在2MHz为0瓦特,约180sccm的氩和约150sccm的CF4,作为对比,净沉积配方的一个示例为:约70毫托的处理室压力,并且等离子体功率在27MHz为约800瓦特,在2MHz为400瓦特,约240sccm的氩和约75sccm的CF4,以及约100sccm的H2。在集成缩减临界尺寸和节距的情形下,该低压蚀刻可从该掩模中开口的底部108C比从掩模108A的侧壁去除更多材料(例如,材料124)。从掩模108A中开口的底部108C去除材料124修正该掩模中开口108B’的形貌的至少一部分。可使用选择性沉积在稍低的压力下替代或者与蚀刻处理结合。作为示例,该选择性沉积处理可在约70毫托的压力下应用,而该蚀刻处理可在小于70毫托的压力下应用(例如,约50毫托,或者在约20毫托至约70毫托之间但小于该选择性沉积处理的压力)。该选择性沉积处理可在掩模108A的侧壁上比在该掩模内开口的底部108C上沉积更多或相等的材料(例如材料122)。(对于相等,指如果从该底部比从该侧壁蚀刻和去除更多的材料,那么在该侧壁和底部上沉积相等的材料,那么该净效果仍然为具有缩减开口108B尺寸的蚀刻特征)。增加额外材料122到掩模108A的侧壁不仅能够迭代地(iteratively)缩减掩模(并作为待蚀刻特征结果)尺寸,还可修正该掩模内开口108B’的形貌。由掩模108A的具体需求确定是否使用低压蚀刻处理和/或选择性沉积处理。作为另一个例子,如果掩模108A的形貌的顶部部分是可接受的(例如,材料122已大体上出现),那么该选择性沉积可被削弱。
在操作215中,使用具有修正的形貌的掩模108A’,在下层(例如,层102)中形成特征302。该掩模108A’的修正的形貌允许特征302形成有期望的形貌。
图3A和3B是根据本发明的一个实施例的在下面的中间层102中形成的特征302A的横截面示意图300。使用为0.4微米器件宽度优化的光刻处理形成该0.3微米掩模108A。该0.3微米掩模108A具有未修正的形貌,如以上附图1D-E所示。如上所述修正掩模108A的形貌,以形成掩模108A’。该修正的形貌掩模108A’用于在该下面中间层102中形成特征302A。
如以上图1D-3B中所述,缩减示范性的0.4微米掩模以形成0.3微米的掩模。应当理解,可使用类似的缩减处理以缩减其它的掩模尺寸。作为示例,0.25微米的掩模可缩减为约0.15微米的掩模。类似地,0.5微米的掩模可被缩减至约0.4微米的掩模。
如以上图1D-3B中所述,掩模可形成为带有未修正的形貌,例如形成具有对于采用的光刻处理过小宽度的掩模。然后可修正该掩模的形貌,使得其可用于形成具有类似的比所采用的光刻处理的预期更小的宽度的特征(如,特征302A)。所得到的特征还可比所采用的光刻处理的预期更靠拢。
图4是根据本发明的一个实施例的在下面的中间层102中形成的特征402A的横截面示意图400。图5是根据本发明的一个实施例,用于缩小掩模的方法操作500的流程图。在操作505,形成掩模108A。在操作510,如上所述修正掩模108A的形貌。
在操作515,额外的材料422增加到掩模108A的侧边,以进一步缩小掩模108。作为示例,如上所述,0.4微米光刻处理用于形成0.3微米掩模。该0.3微米掩模的形貌被修正,然后用于形成特征(例如,以上图3B的特征302A)。特征302A具有与掩模108A’大体上相同的宽度(例如,约0.3微米)。如图4所示,该额外的材料422可增加到掩模108A的侧壁,以将掩模108B”内的开口缩小至大体上小于0.3微米(例如,约0.20或0.25微米宽度)。
可通过气体调制缩小掩模108B”的开口。通过将不依赖深度、不均一的黏附系数材料增加到掩模108A的侧边,可缩小掩模108B”内的开口。
如上所述,改变等离子体条件可改变在该特征内不同位置沉积的材料的量。例如,如上所述的较低压力(例如,小于约70毫托)的蚀刻处理,可以是至少实际上稍微定向的。该低压蚀刻可从该掩模开口的底部108C比从掩模108A的侧壁去除更多的材料。可使用选择性沉积处理代替或与该低压蚀刻处理结合。该选择性沉积可在掩模108A的侧壁上沉积比在该掩模中开口的底部108C上更多的材料。在掩模108A的侧壁增加额外的材料422,缩减掩模108B”中开口的宽度。
在操作520,该缩小的开口108A”可用于形成类似的缩小的特征402A。作为示例,如果缩小的开口108A”具有约0.25微米的宽度,那么特征402A可具有约0.2微米至约0.25微米的宽度。
图6是根据本发明的一个实施例的用于修正掩模形貌的气体调制的方法操作600的流程图。在操作605,基片101设置在处理室内。该基片具有如上面图1D-F所述的不期望的形貌。该处理室可以是任何合适的处理室(例如,等离子体室、蚀刻室、沉积室,等)。
在操作610,将第一处理施加到基片101。作为示例并参考上面的图1F,该第一处理可从掩模108A中的开口108B的底部108C去除多余的材料124。可在选择性蚀刻步骤中去除该多余的材料124。
在操作615,可将第二处理施加到基片101。作为示例并参考上述图1F,该第二处理可将额外的材料122增加到掩模108A的顶部区域。在沉积处理中沉积该额外材料122。应当理解,操作610和615可以任何顺序进行,甚至可以迭代地应用,以获得期望的形貌。
在操作620,如果掩模108A的形貌被修正,那么可结束该方法操作。可选地,在步骤620,如果掩模108A的形貌尚未被修正,那么该方法操作可在操作610中继续进行。操作610和615也可大体上同时进行。
图7是根据本发明的一个实施例的系统700的方块图。该系统包括处理室702,其连接到控制器710。控制器710包括一个或多个方法712,以用于控制在处理室702中执行的处理。一个或多个处理气体源720A-N通过气体歧管722连接到处理室702。气体歧管722连接到控制器710。气体歧管722允许控制器710在处理室702中控制来自于处理气体源720A-N的处理气体的压力、流率、混合以及浓度。
考虑到上述实施例,应当理解,本发明可应用多种计算机实现的操作,其包括存储在计算机系统中的数据。这些操作是需要物理处理物理量的那些操作。通常,尽管不是必要地,这些量表现为能够被存储、传输、结合、比较和其它处理的电信号或磁信号的形式。另外,所执行的处理通常表示为术语形式,例如产生、识别、确定、或比较。
此处描述的形成本发明一部分的任何操作是有用的机械操作。本发明还涉及用于执行这些操作的设备或装置。该装置可特别为所需的目的而构成,或者其为由存储于计算机内的计算机程序有选择地激活或配置的通用计算机。特别地,多种通用机器可与根据此处教导所编写的计算机程序结合使用,或者更方便地,构建更加专用的装置以实施该所需的操作。
本发明也可具体表达为在计算机可读介质上的计算机可读代码。该计算机可读介质是可存储此后由计算机系统读取的数据的任何数据存储设备。计算机可读介质的实例包括硬盘驱动器、网络连接存储器(NAS)、只读存储、随机存取存储器、CD-ROM、CD-R、CD-RW、磁带和其它光学以及非光学的数据存储设备。该计算机可读介质也可分布在网络连接的计算机系统上,从而该计算机可读代码可以分布式形式存储和执行。
可以进一步理解,由以上附图中的操作所代表的指令并不要求以所说明的顺序执行,并且由这些操作代表的所有处理对于实施本发明可能不是必需的。另外,以上任何附图中所描述的处理也可在软件中实现,该软件可存储在RAM、ROM或硬盘驱动器中的任何一种或者其结合中。
尽管已经详细地描述了前述发明以便于理解,但显然,可在所附权利要求的范围内实施特定变化和修正。因此,这些实施例应被理解为说明性的,而非限制性的。并且本发明并不局限于此处所给的细节,而是可在所附权利要求的范围及其等同方式内进行修改。

Claims (14)

1.一种在基片上形成器件的系统,包括:
用于容纳基片的处理室,该处理室与气体歧管和控制器相连接;
多个处理气体源,其流体连接于该气体歧管,该气体歧管连接于该控制器;以及
控制器,其包括配方,该配方包括:
用于在基片上形成掩膜的逻辑,该掩膜由第一材料形成,其中该用于形成掩膜的逻辑包括用于在第一层上形成掩膜层的逻辑以及在该掩膜层中形成至少一个开口的逻辑,该掩膜层中的该至少一个开口在该开口的至少一侧或该开口的底部具有不正确形貌;
用于修正该掩膜层中该开口的至少一侧或该至少一个开口的底部的不正确形貌的逻辑,其包括至少下述之一:
用于去除该掩膜层中的该至少一个开口的该至少一侧的底部以便该至少一侧与该掩膜层的顶表面大体垂直的逻辑;或
用于在该掩膜层中的该开口的至少一侧增加第二部分材料以便该开口的该至少一侧与该掩膜层的顶表面大体垂直的逻辑。
2.根据权利要求1所述的系统,其中该配方进一步包括用于从该第一层去除所述掩模层的逻辑。
3.根据权利要求1所述的系统,其中用于在该掩膜层中形成至少一个开口的逻辑包括用光刻处理在该掩膜层中形成该至少一个开口的逻辑,其中该光刻处理为第一临界尺寸优化,并且其中该掩膜层中的该至少一个开口具有远远小于所述第一临界尺寸的第二临界尺寸。
4.根据权利要求1所述的系统,其中用于在该掩膜层中形成至少一个开口的逻辑包括用光刻处理在该掩膜层中形成该至少一个开口的逻辑,其中该光刻处理为第一开口密度优化,并且其中该掩膜层具有远远小于所述第一开口密度的第二开口密度。
5.根据权利要求1所述的系统,其中用于去除在该掩膜层的该开口的该至少一侧的底部的逻辑包括施加低压蚀刻处理的逻辑或施加选择性沉积处理的逻辑中的至少一种。
6.根据权利要求5所述的系统,其中,所述低压蚀刻处理包括小于大约70毫托的蚀刻处理压力。
7.根据权利要求5所述的系统,其中,所述选择性沉积处理包括大于大约50毫托的沉积处理系统压力。
8.根据权利要求1所述的系统,其中用于在该掩膜层中的该开口的该至少一侧增加第二部分材料的逻辑包括施加低压蚀刻处理的逻辑或施加选择性沉积处理的逻辑中的至少一种。。
9.根据权利要求1所述的系统,其中该配方进一步包括用于缩小该掩膜层中的该至少一个开口的经修正的形貌的逻辑。
10.根据权利要求9所述的系统,其中用于缩小该掩膜层中的该至少一个开口的经修正的形貌的逻辑包括增加第三部分材料到该掩模层的该开口的该至少一侧。
11.根据权利要求9所述的系统,其中在该第一层中形成的特征大体上等于或小于该掩膜层中经缩小的开口。
12.根据权利要求1所述的系统,其中修正该掩膜层中的该至少一个开口的形貌包括去除该掩膜层中的该至少一个开口的至少一侧的底部以便该开口的底部与该掩膜层的顶表面大体平行且该开口的底部具有期望的宽度。
13.用于在基片上形成特征的系统,包括:
用于容纳基片的处理室,该处理室与气体歧管和控制器相连接;
多个处理气体源,其流体连接于该气体歧管,该气体歧管连接于该控制器;以及
控制器,其包括配方,该配方包括:
用于在基片上形成掩膜的逻辑,该掩膜由第一材料形成,其中该用于形成掩膜的逻辑包括用于在第一层上形成掩膜层的逻辑以及在该掩膜层中形成至少一个开口的逻辑,该掩膜层中的该至少一个开口在该开口的至少一侧或该开口的底部具有不正确形貌,其中在该掩膜层中的该至少一个开口用光刻处理形成,该光刻处理为第一临界尺寸优化,并且其中该掩膜层的该至少一个开口具有远远小于所述第一临界尺寸的第二临界尺寸;
用于修正该掩膜层中的该开口的至少一侧或该至少一个开口的底部的不正确形貌的逻辑,其包括:
用于去除该掩膜层中的该至少一个开口的该至少一侧的底部以便该至少一侧与该掩膜层的顶表面大体垂直的逻辑;和
用于在该掩膜层中的该开口的至少一侧增加第二部分材料以便该开口的该至少一侧与该掩膜层的顶表面大体垂直的逻辑;以及
用于在该第一层中形成特征的逻辑。
14.根据权利要求13所述的系统,其中该掩膜层中该至少一个开口的至少一侧的底部从该掩膜层中该开口的至少一侧去除是与在该掩膜层中的该开口的至少一侧增加第二部分材料大体同时进行的。
CN2012103397786A 2005-06-30 2006-06-12 用于临界尺寸缩减和节距缩减的系统及方法 Pending CN102969230A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/173,733 2005-06-30
US11/173,733 US7427458B2 (en) 2005-06-30 2005-06-30 System and method for critical dimension reduction and pitch reduction

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN2006800241548A Division CN101213488B (zh) 2005-06-30 2006-06-12 用于临界尺寸缩减和节距缩减的系统及方法

Publications (1)

Publication Number Publication Date
CN102969230A true CN102969230A (zh) 2013-03-13

Family

ID=37590177

Family Applications (2)

Application Number Title Priority Date Filing Date
CN2006800241548A Expired - Fee Related CN101213488B (zh) 2005-06-30 2006-06-12 用于临界尺寸缩减和节距缩减的系统及方法
CN2012103397786A Pending CN102969230A (zh) 2005-06-30 2006-06-12 用于临界尺寸缩减和节距缩减的系统及方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN2006800241548A Expired - Fee Related CN101213488B (zh) 2005-06-30 2006-06-12 用于临界尺寸缩减和节距缩减的系统及方法

Country Status (7)

Country Link
US (1) US7427458B2 (zh)
JP (1) JP2008545271A (zh)
KR (1) KR101339542B1 (zh)
CN (2) CN101213488B (zh)
MY (1) MY139835A (zh)
TW (1) TWI348071B (zh)
WO (1) WO2007005204A2 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105023835A (zh) * 2015-06-17 2015-11-04 泰科天润半导体科技(北京)有限公司 介质掩膜的制造方法、利用该掩膜刻蚀或离子注入的方法

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7449348B1 (en) * 2004-06-02 2008-11-11 Advanced Micro Devices, Inc. Feedback control of imprint mask feature profile using scatterometry and spacer etchback
US8529728B2 (en) * 2005-06-30 2013-09-10 Lam Research Corporation System and method for critical dimension reduction and pitch reduction
US7682516B2 (en) * 2005-10-05 2010-03-23 Lam Research Corporation Vertical profile fixing
US8277670B2 (en) 2008-05-13 2012-10-02 Lam Research Corporation Plasma process with photoresist mask pretreatment

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6335129B1 (en) * 1999-03-15 2002-01-01 Kabushiki Kaisha Toshiba Method for repairing pattern defect, photo mask using the method, and semiconductor device manufacturing method employing the photo mask
US20050048785A1 (en) * 2003-08-26 2005-03-03 Lam Research Corporation Reduction of feature critical dimensions

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2577025B2 (ja) * 1988-01-08 1997-01-29 大日本印刷株式会社 パターン形成方法
JP2722491B2 (ja) * 1988-04-01 1998-03-04 松下電器産業株式会社 レジスト処理方法
JPH0219852A (ja) * 1988-07-07 1990-01-23 Matsushita Electric Ind Co Ltd レジスト処理方法
JP3161040B2 (ja) * 1992-06-16 2001-04-25 ソニー株式会社 半導体装置の製造方法
US5674647A (en) * 1992-11-21 1997-10-07 Ulvac Coating Corporation Phase shift mask and manufacturing method thereof and exposure method using phase shift mask
US5506080A (en) * 1995-01-23 1996-04-09 Internation Business Machines Corp. Lithographic mask repair and fabrication method
US6415413B1 (en) * 1998-06-18 2002-07-02 Globespanvirata, Inc. Configurable Reed-Solomon controller and method
JP2000173996A (ja) * 1998-12-03 2000-06-23 Mitsubishi Electric Corp 半導体装置およびその製造方法
JP2000232047A (ja) * 1999-02-09 2000-08-22 Nikon Corp 散乱ステンシル型レチクルの修正方法
US6342428B1 (en) * 1999-10-04 2002-01-29 Philips Electronics North America Corp. Method for a consistent shallow trench etch profile
US6415431B1 (en) 2000-02-18 2002-07-02 International Business Machines Corporation Repair of phase shift materials to enhance adhesion
JP3509761B2 (ja) * 2001-02-08 2004-03-22 株式会社半導体先端テクノロジーズ レジストパターン形成方法及び微細パターン形成方法
KR100886419B1 (ko) * 2001-02-15 2009-03-02 다이니폰 인사츠 가부시키가이샤 위상시프트 마스크의 제조 방법 및 위상시프트 마스크
DE10228807B4 (de) * 2002-06-27 2009-07-23 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung von Mikrostrukturelementen

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6335129B1 (en) * 1999-03-15 2002-01-01 Kabushiki Kaisha Toshiba Method for repairing pattern defect, photo mask using the method, and semiconductor device manufacturing method employing the photo mask
US20050048785A1 (en) * 2003-08-26 2005-03-03 Lam Research Corporation Reduction of feature critical dimensions

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105023835A (zh) * 2015-06-17 2015-11-04 泰科天润半导体科技(北京)有限公司 介质掩膜的制造方法、利用该掩膜刻蚀或离子注入的方法
CN105023835B (zh) * 2015-06-17 2019-04-02 泰科天润半导体科技(北京)有限公司 介质掩膜的制造方法、利用该掩膜刻蚀或离子注入的方法

Also Published As

Publication number Publication date
CN101213488A (zh) 2008-07-02
US7427458B2 (en) 2008-09-23
WO2007005204A2 (en) 2007-01-11
TWI348071B (en) 2011-09-01
US20070004217A1 (en) 2007-01-04
KR101339542B1 (ko) 2013-12-10
WO2007005204A3 (en) 2007-11-01
JP2008545271A (ja) 2008-12-11
CN101213488B (zh) 2012-11-07
TW200710564A (en) 2007-03-16
KR20080023228A (ko) 2008-03-12
MY139835A (en) 2009-10-30

Similar Documents

Publication Publication Date Title
JP7210538B2 (ja) 周期的な不動態化およびエッチングを使用する高アスペクト比の選択的横方向エッチング
KR101711669B1 (ko) 측벽 형성 공정
US7271107B2 (en) Reduction of feature critical dimensions using multiple masks
US6235643B1 (en) Method for etching a trench having rounded top and bottom corners in a silicon substrate
TWI416609B (zh) 電漿處理系統之用於將遮罩底切及凹口減至最少的方法
CN101459074B (zh) 刻蚀方法和双镶嵌结构的形成方法
JP2008538857A5 (zh)
JP2008538857A (ja) エッチングプロセスのための安定化したフォトレジスト構成
JP2008524851A5 (zh)
CN102969230A (zh) 用于临界尺寸缩减和节距缩减的系统及方法
US10957550B2 (en) Semiconductor structure and formation method thereof
CN105355538A (zh) 一种刻蚀方法
CN102037544A (zh) 用氦气去除浮渣
CN108573867A (zh) 硅深孔刻蚀方法
CN103413779A (zh) 硅通孔刻蚀方法
CN1260802C (zh) 浅沟槽隔离的形成方法
CN109037029B (zh) 一种蓝宝石等离子刻蚀负载效应的图形修饰方法及系统
CN104282613A (zh) 半导体制造方法
CN103700622A (zh) 硅通孔的形成方法
KR102542167B1 (ko) 에칭 방법 및 플라즈마 처리 장치
CN105720002A (zh) 斜孔刻蚀方法
US8529728B2 (en) System and method for critical dimension reduction and pitch reduction
US20050224451A1 (en) Method for masking a recess in a structure with a large aspect ratio
CN105826239A (zh) 一种形成硅通孔的方法
US20080003822A1 (en) Method for fabricating semiconductor device

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C05 Deemed withdrawal (patent law before 1993)
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20130313