CN102037544A - 用氦气去除浮渣 - Google Patents

用氦气去除浮渣 Download PDF

Info

Publication number
CN102037544A
CN102037544A CN2009801184781A CN200980118478A CN102037544A CN 102037544 A CN102037544 A CN 102037544A CN 2009801184781 A CN2009801184781 A CN 2009801184781A CN 200980118478 A CN200980118478 A CN 200980118478A CN 102037544 A CN102037544 A CN 102037544A
Authority
CN
China
Prior art keywords
etching
photoresist mask
gas
plasma
etch layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN2009801184781A
Other languages
English (en)
Inventor
艾伦·詹森
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN102037544A publication Critical patent/CN102037544A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)

Abstract

本发明提供了一种制造半导体设备的方法。本发明还提供了一种晶片,所述晶片上有图案化的光刻胶掩模,其中所述光刻胶掩模有图案化的光刻胶掩模特征,在所述光刻胶掩模特征的底部有浮渣。将所述浮渣从所述光刻胶掩模特征的底部去除,包括:提供一种主要包括氦气的去除浮渣气体,并使所述氦气形成等离子体,从而去除所述浮渣。

Description

用氦气去除浮渣
技术领域
本发明涉及到半导体设备的制造。
背景技术
在半导体晶片加工过程中,半导体设备的特征由使用公知的图案化和蚀刻工艺的晶片确定。在这些工艺中,一种光刻胶(PR)材料沉积在所述晶片上,然后曝光于经中间掩膜滤光的光线中。所述中间掩膜通常是一块通过有典型特征几何图形(geometries)图案化后的玻璃板,所述特征几何图形阻止光线传播通过所述中间掩膜。
传输通过所述中间掩膜后,所述光接触所述光刻胶材料的表面。所述光改变了所述光刻胶物质的化学组成,以便显影液能去除部分所述光刻胶物质。在光刻胶物质为正性的情况下,曝光区被去除。而在光刻胶物质为负性的情况下,未曝光区被去除。
在一些光刻工艺中,浮渣留在光刻胶特征的底部。所述浮渣被认为是光刻胶或者光刻胶的副产品。所述浮渣也可能是在所述光刻胶工艺中,在所述光刻胶特征的底部形成的一些其他物质。
发明内容
为了解决上述问题,并根据本发明的目的,本发明提供了一种半导体设备的制造方法。本发明还提供了一种晶片,所述晶片上有图案化的光刻胶掩模,其中所述光刻胶掩模有图案化的光刻胶掩模特征,在所述光刻胶掩模特征的底部有浮渣。将所述浮渣从所述光刻胶掩模特征的底部去除,包括:提供一种主要(essentially)包括氦气的去除浮渣气体,并使所述氦气形成等离子体,从而去除所述浮渣。
本发明的另一个实例中,提供了一种制造半导体设备的方法。将晶片放在处理室中,所述晶片上有图案化的光刻胶掩模,其中,所述光刻胶掩模有图案化的光刻胶掩模特征,所述光刻胶掩模特征有浮渣和蚀刻层,所述浮渣位于光刻胶掩模特征的底部,所述蚀刻层放置在所述晶片和所述光刻胶掩模之间。所述光刻胶掩模底部的浮渣,包括使主要包含氦气的去除浮渣气体流进所述处理室,使氦气形成等离子体,从而去除浮渣,并且使去除浮渣气体流停止。所述蚀刻层被蚀刻,包括向所述处理室提供不同于去除浮渣气体的蚀刻气体,并使所述蚀刻气体形成等离子体。将所述晶片从处理室去除。
本发明的又一个例子中,提供了一种在蚀刻层形成特征的设备,其中所述蚀刻层由晶片支撑,并且所述蚀刻层由具有掩模特征的图案化的光刻胶掩模覆盖,在所述掩模特征的底部有浮渣。该例子还提供了一种等离子体处理室,其包括形成等离子体处理室封壳的室壁,在等离子体封壳内支撑晶片的衬底支架,调整等离子体封壳内压强的压强调节器,至少一个向等离子体处理室封壳内提供功率的电极,以维持等离子体状态,将气体供应到等离子体处理室封壳内的进气口,和将等离子体处理室封壳内气体排出的出气口。与所述进气口以流体连接方式相连的气源,其包括氦气源和蚀刻气源。控制器与所述气源及所述至少一个电极可控地连接,其包括至少一个处理器和计算机可读介质。所述计算机可读介质包括用于去除所述光刻胶掩模特征底部浮渣的计算机可读代码和用于蚀刻所述蚀刻层的计算机可读代码,用于去除所述光刻胶掩模特征底部浮渣的计算机可读代码又包括使主要包括氦气的去除浮渣气体从所述氦气源流向所述处理室的计算机可读代码,使氦气形成去除浮渣的等离子体的计算机可读代码,以及停止所述去除浮渣气体流动的计算机可读代码;用于蚀刻所述蚀刻层的计算机可读代码,又包括将不同于所述去除浮渣气体的蚀刻气体从蚀刻气源供应到所述处理室的计算机可读代码,和使所述蚀刻气体形成等离子体的计算机可读代码。
本发明的上述以及其他特征将结合下面的附图,在本发明的下文的详细说明里,进行更详细的阐述。
附图简要说明
在附图的图例里,本发明通过例示方式进行说明,而不是进行限制性说明,并且在附图的图例里,相同的数字代码代表相同的要素,并且其中:
图1是可以使用在本发明的一种实施方式中的工艺高阶流程图。
图2A-D是根据本发明的一种实施方式被加工过的叠层的概要截面图。
图3所示是可以用于实施本发明的等离子体处理室的概要图。
图4A-B所示是一个计算机系统,其适合作为本发明的一种实施方式中的控制器。
优选实施方式的详尽描述
本发明将根据已被附图图示说明的几种优选的实施方式进行详细描述。在下文的描述中,许多详细的细节将阐述,以便对本发明有一个全面的理解。没有这些细节中的一些或者全部,本发明也可以实施,这一点对于本领域的技术人员是显而易见的。为了避免不必要地柔化本发明的优点,在其他的实施例中,公知的工艺步骤或者结构就没有详细阐述。
在形成具有光刻胶特征的图案化的光刻胶掩模的光刻工艺中,浮渣残留在所述光刻胶特征的底部,其对用于制造半导体设备的后续工艺造成干扰。这样的工艺可能就是将特征蚀刻到下面的蚀刻层中的工艺。许多的去除浮渣工艺去除或者毁坏所述光刻胶掩模,这可能造成最终产品的等级下降。
为便于理解,图1是工艺的高阶流程图,该工艺可以用于本发明的一种实施方式中。一种光刻胶图案化掩模形成于晶片上(步骤104)。图2A是叠层200的概要截面图。在该实例中,蚀刻层208形成于所述晶片204上。具有掩模特征214的图案化光刻胶掩模212形成于所述蚀刻层208上,进而形成叠层200。可选的底部抗反射膜(BRAC)或者抗反射膜(ARL)可以置于所述晶片和所述光刻胶掩模之间,或者所述蚀刻层208可以是抗反射层或者反射层,并且在所述蚀刻层208与所述晶片204之间可以有附加的层。在光刻胶特征的底部是浮渣层216。所述浮渣可以是光刻工艺中的残余物,或者光刻胶的副产品,或者在光刻工艺中或所述晶片随后的储藏或运输过程中形成于光刻胶特征底部的其他物质。
所述晶片204放置在处理室中(步骤108)。图3是可以用于本发明的一种实施方式中的一种等离子体处理室的概要图。所述等离子体处理室300包括限制环302、上电极304、下电极308、气源310和排气泵320。在等离子体处理室300里,所述晶片204放置在所述下电极308上。在该实施方式中,所述气源310包括氦气源312、蚀刻气源314和附加源316。所述下电极308包含合适的衬底夹持机构(例如静电的,机械的夹具,或者类似器具),以夹持所述晶片204。反应器顶部328包含所述上电极304,所述上电极直接相对于所述下电极308安装。所述上电极304、下电极308和限制环302限定受限等离子体容积。通过气源310向所述受限等离子体容积中供应气体,并使用排气泵320将受限等离子体容积中的气体经由限制环302和排气口排出。第一射频源344与所述上电极304电连接。第二射频源与所述下电极308电连接。室壁352包绕所述限制环302、所述上电极304和所述下电极308。所述第一射频源344和所述第二射频源348都可以包含60兆赫兹的能源、27赫兹的能源和2赫兹的能源。射频源与所述电极的连接可能有不同组合。Exelan HPTTM与Exelan HP基本相同,有与室连接的Turbo泵(Turbo Pump),由加利福尼亚州弗里蒙特的兰姆研究公司(LAM Research CorporationTM)制造,可以用于本发明的一种实施方式中。在使用Exelan HPTTM的情况下,所述60兆赫兹、27赫兹和2赫兹能源组成与所述下电极连接的第二射频能源348,所述上电极则接地。控制器335与所述射频源344、348、排气泵320以及所述气源310可控地连接。
图4A和4B图示说明了计算机系统400,其适合于作为本发明的一种实施方式中的控制器335。图4A所示是所述计算机系统的可能的物理形状。当然,所述计算机系统可能有许多的物理形状,其从集成电路、印制线路板、小的手持仪器直至巨型超级计算机。计算机系统400包括监控器402、显示器404、外壳406、磁盘驱动器408、键盘410和鼠标412。磁盘414是用于与计算机系统400之间传输数据的计算机可读介质。
图4B是计算机系统400的方框图的一个示例。与系统总线420相连的是各种各样的子系统。处理器422(也称中央处理器,或者CPUs)连接到存储仪器上,包括存储器424。存储器424包括随机存取存储器(RAM)和只读存储器(ROM)。ROM向CPU单向传输数据和指令,而RAM向CPU传输数据和指令的典型方式是双向方式。这些类型的存储器都可以包含下述的所述计算机可读介质的合适的形式。固定磁盘426也以双向方式与中央处理器422连接;其提供另外的数据存储功能,并且也可以包括下文描述的所述计算机可读介质的任何功能。固定磁盘426也可以用于存储程序、数据以及类似的信息,并且是典型的次级存储介质(例如硬盘),其与初级存储介质相比,速度要慢。可以预计在合适的情况下,保留在固定磁盘426里的信息可以作为虚拟内存以标准的形式并入存储器424里。可移动磁盘414可以采用下文描述的所述计算机可读介质的任意的形式。
中央处理器422也被连接到各种各样的输入/输出设备中,例如显示器404、键盘410、鼠标412和扬声器430。通常,输入/输出设备可以选自:视频显示器、轨迹球、鼠标、键盘、麦克风、触感显示器、传感读卡器、磁或纸带读数器、数据板、笔尖、声音或者手写识别器、生物统计读数器或者其他的计算机。中央处理器422可以选择性地通过使用网络接口440连接到另一个计算机或者远程通讯网络上。使用这样的网络接口,可以预料,在执行上述方法步骤的过程中,所述中央处理器可以从所述网络接收信息,也可向所述网络输送信息。此外,本发明方法的实施方式,可以在中央处理器422上单独实施,或者通过诸如互联网的网络与远程中央处理器相连,远程中央处理器承担所述处理的一部分。
此外,本发明的实施方式还涉及到配备有计算机可读介质的计算机存储产品,所述计算机可读介质上有计算机代码,以便可以执行各种各样的计算机执行程序。所述介质和计算机代码可以是那些为本发明而特别设计或者创建的,或者是那些计算机软件领域的技术人员所公知的并且可以获得的。有形的计算机可读介质的实例包括但不限于:磁介质,如硬盘、软盘和磁带;光学介质,如CD-ROMs和全息设备;磁光介质,例如光盘;以及特别设置成存储和执行程序代码的硬件设备,例如专用集成电路(ASICs)、可编程序逻辑设备(PLDs)、随机存取存储器(RAM)和只读存储器(ROM)设备。计算代码的实例包括机器代码,例如由编译器制造的代码,以及包含高阶代码的文档,所述高阶代码由使用解释程序的计算机执行。计算机可读介质也可以是通过计算机数据信号传输的计算机代码,所述计算机数据信号可以在载波中具体体现,并且代表一系列的指令,这些指令可以由一个信息处理器执行。
进行图案化的光刻胶掩模的氦气去除浮渣方法(步骤112)。通常情况下,向所述处理室供应一种主要包含氦气的去除浮渣气体。从所述射频源344、348中的至少一个向所述电极304、308提供能量,以使在所述处理室中的主要包含氦气的所述气体形成等离子体。优选没有偏压或者只有低的偏压。太高的偏压将使光刻胶掩模溅蚀,或者造成光刻胶掩模其他的损坏,这不是本发明的实施方式所希望的。图2B所示是所述氦气去除浮渣工艺后的叠层200。
去除浮渣方法的一个例子中,去除浮渣气体是600标准毫升/分钟的氦气。压强保持在200毫乇,频率为60兆赫兹的射频的功率为500瓦,供应时间为30秒。
在去除浮渣工艺停止后,位于所述图案化光刻胶掩模211之下的所述蚀刻层208被蚀刻(步骤116)。在一种实施方式中,所述蚀刻层由多层组成,例如在有机的平坦化层(在光刻胶类物质上结的网)上是含硅的抗反射涂层(SiARC),其中有机的平坦化层位于二氧化硅层之上。所述蚀刻层的所述蚀刻首先蚀刻SiARC,以便转移(transfer)所述光刻胶掩模层。这样的工艺需要提供SiARC蚀刻气体,其不同于所述去除浮渣气体。从射频源344,348中的至少一个通过所述相同电极304,308提供能量,形成等离子体,以便相同的射频源和电极可以用于所述去除浮渣工艺和所述蚀刻工艺。所述等离子体用于蚀刻所述蚀刻层208上的SiARC。接着使用一种形成等离子体的有机层蚀刻气体,蚀刻所述的有机平坦化层,将所述图案转移到非平坦表面。用形成等离子体的氧化硅蚀刻气体蚀刻所述氧化硅层。图2C是所述蚀刻层208被蚀刻后的所述叠层200的概要图。
在另一种实施方式中,一层或者多层可以放置在所述蚀刻层的上面或者下面,在该实施方式中,可以是单层。例如,所述氧化硅层可以视为单层蚀刻层。所述SiARC和有机平坦层可以在所述蚀刻层上方。在这种情况下,在去除浮渣后以及蚀刻所述蚀刻层前,可以实施其他的工艺。
假如在所述蚀刻完成后,所述光刻胶图案化掩模中的任何部分保留了。在所述处理室300中,可以使用一种常用的剥离工艺,将所述光刻胶图案化掩模212剥离(步骤120)。所述常用的剥离工艺可以使用与所述去除浮渣工艺和所述蚀刻工艺相同的射频源和电极。图2D是所述光刻胶掩模剥离后,所述叠层200的概要图。
所述晶片204接着从所述处理室300去除。
不希望受理论的约束,所述去除浮渣气体主要包含氦气,因为氦气是最轻的惰性气体。较重的惰性气体,诸如氩气增加光刻胶损坏和/或增加CD的尺寸。非惰性气体会被认为将与所述光刻胶掩模发生反应,这将蚀刻并且过度损坏所述光刻胶掩模。使偏压最小化,以便将光刻胶损坏降到最低。优选偏压小于300伏。更优选偏压小于150伏。最优选偏压小于115伏。例如,在上文所述处理室中,在所述去除浮渣过程中,优选所有所供电源频率大于50兆赫兹,例如60兆赫兹。在该例子中,不提供在27兆赫兹和2兆赫兹的电力。该实施方式在所有工艺中,使用相同的电源、电极、进气口和出气口,在一个单一的处理室中,原位进行所述去除浮渣、蚀刻和剥离工艺,其中的优点在该实施方式中都体现了。
在另一种实施方式中,在去除浮渣期间,可以供应27兆赫兹的电力,并保持一个低的压强。
在另一种实施方式中,在所述蚀刻工艺之前或者期间,在蚀刻特征或者光刻胶特征的所述壁上形成侧壁。这可以通过在所述光刻胶特征或者蚀刻特征的侧壁上形成聚合物层实施。这样的工艺可以用于收缩所述特征的关键尺寸。假如侧壁在所述蚀刻工艺期间形成,可以使用单一阶段工艺,其中所述单一阶段蚀刻和形成侧壁。备选地,可以使用多阶段工艺,其中一个阶段沉积侧壁,另一个阶段蚀刻。可以进行这些阶段的多次循环。在另一种实施方式中,在蚀刻开始以前,在所述光刻胶掩模上形成收缩层。所述氦去除浮渣工艺可以用于改善有侧壁沉积的蚀刻,特别是假如所述侧壁沉积用于收缩关键尺寸。
本发明可以使用各种实施方式。在另一个实施方式中,所述处理室将在去除浮渣工艺中提供顺流等离子体。在这样的一种实施方式中,微波源可以产生等离子体,产生的等离子体然后提供给所述室,在另一种实施方式中,可以使用微波源在所述室中产生所述等离子体,以避免偏压。这样的实施方式可以在相同的室或者不同的室中进行蚀刻和剥离工艺。
在图3中的所述处理室是电容耦合处理室。在其他实施方式中,可以使用感应耦合处理室。
当用几种优选的实施方式对本发明进行阐述时,有关的更改、置换和各种替代的等同方式落入本发明的范围之内。也应当注意,实施本发明的方法和设备有许多替代方式。因此,下面的从属权利要求将被解释为包括所有落入本发明的实质范围内的变更、置换和各种替代的等同方式。

Claims (31)

1.一种制造半导体设备的方法,包括:
提供晶片,所述晶片上有图案化的光刻胶掩模,其中所述图案化的光刻胶掩模有图案化光刻胶掩模特征,在所述光刻胶掩模特征底部有浮渣;以及
从所述光刻胶掩模的所述底部去除所述浮渣,包括:
提供主要包含氦气的去除浮渣气体;以及
使所述氦气形成等离子体,从而去除所述浮渣。
2.根据权利要求1所述的方法,其中在所述晶片和所述光刻胶掩模之间放置蚀刻层,并且还包括蚀刻所述蚀刻层。
3.根据权利要求2所述的方法,还包括通过形成侧壁,以收缩所述光刻胶掩模特征的关键尺寸。
4.根据权利要求3所述的方法,其中所述去除浮渣、蚀刻和收缩在同一个室进行。
5.根据权利要求4所述的方法,其中所述使所述氦气形成等离子体提供的偏压量小于150伏。
6.根据权利要求5所述的方法,还包括剥离所述图案化光刻胶掩模,其中所述剥离与所述去除浮渣、蚀刻和收缩在所述同一个室使用相同的射频电极进行。
7.根据权利要求2所述的方法,其中所述蚀刻所述蚀刻层在所述蚀刻层的所述蚀刻期间形成的蚀刻特征上形成侧壁。
8.根据权利要求2所述的方法,其中所述蚀刻所述蚀刻层包括多次循环,其中每次循环包括:
沉积侧壁的沉积阶段;和
蚀刻所述蚀刻层的蚀刻阶段。
9.根据权利要求2所述的方法,还包括在所述去除所述浮渣以后以及蚀刻所述蚀刻层以前,在所述图案化光刻胶掩模的所述光刻胶掩模特征的侧壁沉积收缩层。
10.根据权利要求2所述的方法,其中所述去除浮渣和蚀刻是在同一个室进行。
11.根据权利要求2所述的方法,还包括剥离所述图案化光刻胶掩模,其中所述去除浮渣、蚀刻和剥离是在同一个室中使用相同的射频电极进行。
12.根据权利要求1所述的方法,其中所述使所述氦气形成等离子体提供的偏压的量小于150伏。
13.根据权利要求1所述的方法,其中所述使所述氦气形成等离子体在与放置所述晶片不同的室中进行,其中所述等离子体接着作为顺流等离子体流向所述晶片所处的室。
14.一种制造半导体设备的方法,包括:
在处理室中放置晶片,所述晶片上有图案化的光刻胶掩模,其中所述图案化光刻胶掩模有图案化的光刻胶掩模特征,在所述光刻胶掩模特征的底部有浮渣,在所述晶片和所述光刻胶掩模之间有蚀刻层;
从所述光刻胶掩模特征的所述底部去除所述浮渣,包括:
使主要包含氦气的去除浮渣气体流入所述处理室中;
使所述氦气形成等离子体,从而去除所述浮渣;以及
停止所述去除浮渣气体的所述流入;
蚀刻所述蚀刻层,包括:
向所述处理室中提供一种不同于所述去除浮渣气体的蚀刻气体;以及
使所述蚀刻气体形成等离子体;以及
从所述处理室中去除所述晶片。
15.根据权利要求14所述的方法,还包括通过生成侧壁收缩所述光刻胶掩模特征的关键尺寸。
16.根据权利要求14所述的方法,其中所述使所述蚀刻气体形成等离子体提供的偏压量小于150伏。
17.根据权利要求14所述的方法,还包括从所述处理室去除所述晶片前,剥离所述图案化光刻胶掩模。
18.根据权利要求14所述的方法,其中所述蚀刻所述蚀刻层在所述蚀刻层的所述蚀刻期间形成的蚀刻特征上形成侧壁。
19.一种用于在蚀刻层形成特征的方法,其中所述蚀刻层由一块晶片支撑,并且其中所述蚀刻层由具有掩模特征的图案化光刻胶掩模覆盖,所述掩模特征的底部有浮渣,包括:
等离子体处理室,其包括:
室壁,用于形成等离子体处理室封壳;
衬底支架,用于在所述等离子体处理室封壳内支撑衬底;
压强调节装置,用于调节所述等离子体处理室封壳内的所述压强;
至少一个电极,用于向所述等离子体处理室封壳内提供维持等离子状态所需功率;
进气口,用于向所述等离子体处理室封壳内提供气体;以及
排气口,用于将气体排出所述等离子体处理室封壳;
与所述进气口流体连通的气源,包括:
氦气气源;和
蚀刻气气源;
与所述气源以及所述至少一个电极通过可控方式连接的控制器,包括:
至少一个处理器;以及
计算机可读介质,包括:
计算机可读代码,用于去除所述光刻胶特征的所述底部的所述浮渣,包括:
计算机可读代码,用于使主要包含氦气的去除浮渣气体从所述氦气气源流入所述处理室;
计算机可读代码,用于使所述氦气形成等离子体,以去除浮渣;和
计算机可读代码,用于所述去除浮渣气体的所述流入;以及
计算机可读代码,用于蚀刻所述蚀刻层,包括:
计算机可读代码,用于从蚀刻气源将不同于所述去除浮渣气体的蚀刻气提供给所述处理室;和
计算机可读代码,用于使所述气体形成等离子体。
20.根据权利要求1至2所述的方法,还包括通过形成侧壁以收缩所述光刻胶掩模的关键尺寸。
21.根据权利要求2和20所述的方法,其中所述去除浮渣、蚀刻和收缩是在同一室中进行。
22.根据权利要求1,2,20和21所述的方法,其中所述使所述氦气形成等离子体提供的偏压量小于150伏。
23.根据权利要求21和22所述的方法,还包括剥离所述的图案化光刻胶掩模,其中所述剥离与所述去除浮渣、蚀刻和收缩在同一个室使用相同的射频电极进行。
24.根据权利要求2和20至23所述的方法,其中所述蚀刻所述蚀刻层在所述蚀刻层的所述蚀刻期间形成的蚀刻特征上形成侧壁。
25.根据权利要求2和20至24所述的方法,其中所述蚀刻所述蚀刻层,包括多次循环,其中每一循环包括:
沉积侧壁的沉积阶段;和
蚀刻所述蚀刻层的蚀刻阶段。
26.根据权利要求2和20至25所述的方法,还包括在所述去除所述浮渣以后以及蚀刻所述蚀刻层以前,在所述图案化光刻胶掩模的所述光刻胶掩模特征的侧壁沉积收缩层。
27.根据权利要求2所述的方法,其中所述去除浮渣和所述蚀刻是在同一个室中进行的。
28.根据权利要求1,2和20至27所述的方法,其中所述使所述氦气形成等离子体在与放置所述晶片不同的室中进行,其中所述等离子体接着作为顺流等离子体流向所述晶片所处的室。
29.根据权利要求14至15所述的方法,其中所述使所述氦气形成等离子体提供的偏压量小于150伏。
30.根据权利要求14至15和29所述的方法,还包括从所述处理室去除所述晶片前,剥离所述图案化光刻胶掩模。
31.根据权利要求14至15,29和30所述的方法,其中所述蚀刻所述蚀刻层在所述蚀刻层的所述蚀刻期间形成的蚀刻特征上形成侧壁。
CN2009801184781A 2008-05-20 2009-05-11 用氦气去除浮渣 Pending CN102037544A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/124,005 2008-05-20
US12/124,005 US20090291562A1 (en) 2008-05-20 2008-05-20 Helium descumming
PCT/US2009/043501 WO2009142947A2 (en) 2008-05-20 2009-05-11 Helium descumming

Publications (1)

Publication Number Publication Date
CN102037544A true CN102037544A (zh) 2011-04-27

Family

ID=41340782

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2009801184781A Pending CN102037544A (zh) 2008-05-20 2009-05-11 用氦气去除浮渣

Country Status (5)

Country Link
US (1) US20090291562A1 (zh)
KR (1) KR20110018318A (zh)
CN (1) CN102037544A (zh)
TW (1) TW201005824A (zh)
WO (1) WO2009142947A2 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102883541A (zh) * 2012-10-17 2013-01-16 无锡江南计算技术研究所 等离子体去夹膜方法

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
BRPI1001236A2 (pt) 2010-02-19 2016-02-10 Mitsubishi Heavy Ind Ltd métodos de arranque de uma máquina rotativa e de um gerador de turbina eólica
US9117767B2 (en) * 2011-07-21 2015-08-25 Lam Research Corporation Negative ion control for dielectric etch
US8999184B2 (en) * 2012-08-03 2015-04-07 Lam Research Corporation Method for providing vias
US9469912B2 (en) * 2014-04-21 2016-10-18 Lam Research Corporation Pretreatment method for photoresist wafer processing

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2639372B2 (ja) * 1995-02-21 1997-08-13 日本電気株式会社 半導体装置の製造方法
WO2004019134A1 (ja) * 2002-08-22 2004-03-04 Daikin Industries, Ltd. 剥離液
US6896821B2 (en) * 2002-08-23 2005-05-24 Dalsa Semiconductor Inc. Fabrication of MEMS devices with spin-on glass
US6841431B2 (en) * 2003-01-29 2005-01-11 Chunghwa Picture Tubes, Ltd. Method for reducing the contact resistance
US7695632B2 (en) * 2005-05-31 2010-04-13 Lam Research Corporation Critical dimension reduction and roughness control
KR101231019B1 (ko) * 2007-12-18 2013-02-07 양병춘 집적회로장치 제조방법
US8703605B2 (en) * 2007-12-18 2014-04-22 Byung Chun Yang High yield and high throughput method for the manufacture of integrated circuit devices of improved integrity, performance and reliability

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102883541A (zh) * 2012-10-17 2013-01-16 无锡江南计算技术研究所 等离子体去夹膜方法
CN102883541B (zh) * 2012-10-17 2015-02-11 无锡江南计算技术研究所 等离子体去夹膜方法

Also Published As

Publication number Publication date
WO2009142947A3 (en) 2010-03-04
KR20110018318A (ko) 2011-02-23
WO2009142947A2 (en) 2009-11-26
TW201005824A (en) 2010-02-01
US20090291562A1 (en) 2009-11-26

Similar Documents

Publication Publication Date Title
CN100543946C (zh) 蚀刻掩模特征临界尺寸的减小
CN101496141B (zh) 在蚀刻层中提供特征的方法
TWI388008B (zh) 遮罩修整
US7772122B2 (en) Sidewall forming processes
CN101131928B (zh) 在多孔低-k介电层中形成双镶嵌特征的方法
US7785484B2 (en) Mask trimming with ARL etch
CN101971291B (zh) 双掩模自对准双图案化技术(SaDPT)工艺
CN102007570A (zh) 用高蚀刻速率抗蚀剂掩膜进行蚀刻
CN101421830A (zh) 无限选择性的光刻胶掩膜蚀刻
CN101461044A (zh) 没有残余物的硬掩模修整
CN102598227A (zh) 修复低-k介电质损坏的方法
CN103187267A (zh) 活动硬掩模的等离子体刻蚀过程中的原地光刻胶剥离
CN100487874C (zh) 具有均匀性控制的蚀刻
CN102037544A (zh) 用氦气去除浮渣
CN101485006B (zh) 相变合金蚀刻
CN101027759A (zh) 线边缘粗糙度控制
US8361564B2 (en) Protective layer for implant photoresist
TW201707087A (zh) 梯階結構之形成方法
KR20070046095A (ko) 유전층 에칭 방법
CN102067290A (zh) 对浸渍光刻胶具有选择性的有机抗反射涂层蚀刻方法
CN107785253A (zh) 利用侧边溅射的线边缘粗糙表面改进

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20110427