CN102956533A - 静电卡盘以及半导体/液晶制造装置 - Google Patents

静电卡盘以及半导体/液晶制造装置 Download PDF

Info

Publication number
CN102956533A
CN102956533A CN2012102734782A CN201210273478A CN102956533A CN 102956533 A CN102956533 A CN 102956533A CN 2012102734782 A CN2012102734782 A CN 2012102734782A CN 201210273478 A CN201210273478 A CN 201210273478A CN 102956533 A CN102956533 A CN 102956533A
Authority
CN
China
Prior art keywords
chuck
electrostatic chuck
pallet
zone
wafer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2012102734782A
Other languages
English (en)
Other versions
CN102956533B (zh
Inventor
白岩则雄
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Shinko Electric Industries Co Ltd
Shinko Electric Co Ltd
Original Assignee
Shinko Electric Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Shinko Electric Co Ltd filed Critical Shinko Electric Co Ltd
Publication of CN102956533A publication Critical patent/CN102956533A/zh
Application granted granted Critical
Publication of CN102956533B publication Critical patent/CN102956533B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23QDETAILS, COMPONENTS, OR ACCESSORIES FOR MACHINE TOOLS, e.g. ARRANGEMENTS FOR COPYING OR CONTROLLING; MACHINE TOOLS IN GENERAL CHARACTERISED BY THE CONSTRUCTION OF PARTICULAR DETAILS OR COMPONENTS; COMBINATIONS OR ASSOCIATIONS OF METAL-WORKING MACHINES, NOT DIRECTED TO A PARTICULAR RESULT
    • B23Q3/00Devices holding, supporting, or positioning work or tools, of a kind normally removable from the machine
    • B23Q3/15Devices for holding work using magnetic or electric force acting directly on the work
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • H01J37/32752Means for moving the material to be treated for moving the material across the discharge
    • H01J37/32761Continuous moving
    • H01J37/32779Continuous moving of batches of workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • H01J37/32788Means for moving the material to be treated for extracting the material from the process chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • HELECTRICITY
    • H02GENERATION; CONVERSION OR DISTRIBUTION OF ELECTRIC POWER
    • H02NELECTRIC MACHINES NOT OTHERWISE PROVIDED FOR
    • H02N13/00Clutches or holding devices using electrostatic attraction, e.g. using Johnson-Rahbek effect

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Mechanical Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本发明提供静电卡盘以及半导体/液晶制造装置,其课题是,在具备多个卡盘区域并且在设于其外侧区域的凹面部中配置用于运送晶片的托盘的静电卡盘中,提高可靠性。作为解决手段,该静电卡盘包含:卡盘功能部(10),其具有载置晶片(2)的多个卡盘区域(R)和设于卡盘区域(R)的外侧区域中的凹面部(C);以及电极(40、40a),其分别配置在与卡盘区域(R)对应的卡盘功能部(10)的内部和与凹面部(C)对应的卡盘功能部(10)的内部。

Description

静电卡盘以及半导体/液晶制造装置
技术领域
本发明涉及在吸附晶片等被吸附物的机构中使用的静电卡盘以及具有该静电卡盘的半导体/液晶制造装置。
背景技术
一直以来,在半导体晶片工艺等中使用的干蚀刻装置或CVD装置等半导体制造装置中,为了在各种工艺中控制晶片温度而具备以静电吸附方式载置晶片的静电卡盘。
例如,干蚀刻装置具备受到冷却的静电卡盘,使得晶片温度不因等离子处理而上升至规定温度以上,并且晶片被冷却成晶片温度在某温度下保持均衡。
【专利文献1】
日本特开2005-64460号公报
【专利文献2】
日本特开2011-114178号公报
如在后述的准备事项一栏中说明的那样,具有静电卡盘,该静电卡盘具备多个卡盘区域,在设于多个卡盘区域的外侧区域的凹面部中配置用于运送晶片的托盘。在蚀刻装置中应用了这样的静电卡盘时,托盘未吸附于静电卡盘,所以托盘的温度大大高于晶片的温度。
因此,在晶片内,周缘部的温度变高,从而在晶片内,蚀刻特性产生偏差,成为导致合格率降低的要因。另外,当托盘成为高温时,容易产生运送问题。因此,需要等待至托盘得到冷却,所以存在吞吐量降低、生产效率变差的问题。
发明内容
本发明的目的是,在具备多个卡盘区域并且在设于其外侧区域的凹面部中配置用于运送被吸附物的托盘的静电卡盘中,提高可靠性。
根据以下公开的一个方面,提供一种静电卡盘,该静电卡盘具备:卡盘功能部,其具有载置被吸附物的多个卡盘区域和设于所述卡盘区域的外侧区域中的凹面部;以及电极,其分别配置在与所述卡盘区域对应的所述卡盘功能部的内部和与凹面部对应的所述卡盘功能部的内部。
另外,根据所公开的另一方面,提供一种半导体/液晶制造装置,该半导体/液晶制造装置具备:腔室;安装于所述腔室的静电卡盘;以及用于运送被吸附物的托盘,所述静电卡盘具备:卡盘功能部,其具有载置被吸附物的多个卡盘区域和设于所述卡盘区域的外侧区域中的凹面部;电极,其分别配置在与所述卡盘区域对应的所述卡盘功能部的内部和与凹面部对应的所述卡盘功能部的内部,用于运送所述被吸附物的托盘在与所述卡盘区域对应的部分处具有开口部,并且该托盘被配置于所述静电卡盘的凹面部。
根据以下所公开的内容,静电卡盘具备用于吸附运送用托盘的电极,所以托盘成为充分吸附于静电卡盘的状态。由此,在将静电卡盘应用于干蚀刻装置的情况下,与晶片(被吸附物)同样,从等离子体施加给托盘的热量从静电卡盘释放到外部而得到充分冷却。
因此,晶片不会受到来自托盘的热量的影响,所以能够在晶片内确保温度的均匀性,提高晶片内的蚀刻处理的合格率。
另外,因为托盘在静电卡盘上得到充分冷却,所以在蚀刻处理结束之后,能够立刻用臂部以高可靠性来运送托盘。由此,能够提高蚀刻处理的吞吐量,有助于生产效率的提高。
附图说明
图1是说明准备事项的剖视图(之1)。
图2是说明准备事项的剖视图(之2)。
图3是示出第1实施方式的静电卡盘的剖视图。
图4是示出第1实施方式的静电卡盘的俯视图。
图5是示出在第1实施方式的静电卡盘中用托盘将晶片向上侧托起的状态的剖视图。
图6是示出第2实施方式的静电卡盘的剖视图。
图7是示出第3实施方式的静电卡盘的剖视图。
图8是示出第4实施方式的静电卡盘的剖视图。
图9是示出具有实施方式的静电卡盘的干蚀刻装置(半导体/液晶制造装置)的剖视图。
符号说明
1、1a、1b、1c…静电卡盘,2…晶片,5…干蚀刻装置,10…卡盘功能部,20…基板(base plate),22…冷却水路,24…加热电极,26…粘接剂,30、30a…气孔,32、32a…凹部,34…凸部,40、40a…电极,50…托盘,50a…开口部,52…突出部,54…升降销(liftpin),56…对焦环,60…腔室,70…下部电极,74…高频电源,76…排气管,78…APC阀,80…上部电极,82…气体导入管,C…凹面部,L,L1,L2…气体路径,R…卡盘区域。
具体实施方式
以下,参照附图来说明实施方式。
在说明实施方式之前,对基础的准备事项进行说明。
如图1所示,静电卡盘100具备多个卡盘区域R,在各卡盘区域R中载置晶片200。在静电卡盘100的各卡盘区域R的内部分别设置有电极300。
另外,静电卡盘100的各卡盘区域R的外侧区域为凹面部C。并且,在静电卡盘100的凹面部C中配置有一体型的托盘400,该托盘400在与静电卡盘100的各卡盘区域R对应的部分处分别设有开口部400a。
在托盘400的开口部400a的侧壁上,在厚度方向的下部设有向内侧突出的环状突出部420。在静电卡盘100的周缘部,环状地配置有用于使托盘400上下移动的多个升降销500。
此外,静电卡盘100在下侧具备设有冷却水路的基板(未图示),通过在冷却水路中流过冷却水,来对各卡盘区域R进行冷却。并且,当对静电卡盘100的电极300施加电压时,通过静电引力将晶片200吸附于静电卡盘100。
例如,在将静电卡盘100安装于干蚀刻装置的情况下,在腔室内产生等离子体,在对载置于静电卡盘100上的多个晶片200进行冷却的状态下同时进行蚀刻。
如图2所示,当蚀刻结束时,通过使升降销500向上侧移动来使托盘400向上侧移动,各晶片200的周缘部搭载于托盘400的突出部420上而向上侧升起。此外,利用臂部(未图示)将搭载着晶片200的托盘400从腔室中运送到外部。
当在图1的状态下对晶片200进行蚀刻时,托盘400也被暴露于等离子体中。此时,因为在托盘400下不存在静电卡盘100的电极300,所以托盘400成为被单纯地放置在静电卡盘100上的状态。
因此,托盘400的冷却未得到充分实施,因此托盘400从等离子体中吸收热量而成为高温。由于成为高温的托盘400的影响,晶片200周缘侧的温度变高,各晶片200内的温度的均匀性变差。
由此,在晶片200内容易产生蚀刻速率、抗蚀剂或者基底的选择比的偏差,成为导致晶片200内的蚀刻处理的合格率降低的要因。
另外,当托盘400成为100℃以上的高温时,从托盘400向运送托盘400的臂部进行热传导,有时因臂部发生热膨胀而引起运送问题。
因此,在蚀刻处理结束之后,需要等待至托盘400得到冷却,所以,随着蚀刻处理的吞吐量(每单位时间的晶片处理能力)的降低,生产效率变差。
以下说明的实施方式的静电卡盘能够消除上述问题。
(第1实施方式)
图3是示出第1实施方式的静电卡盘的剖视图,图4是示出第1实施方式的静电卡盘的俯视图。
如图3所示,第1实施方式的静电卡盘1具备基板20和卡盘功能部10,该卡盘功能部10通过硅树脂等粘接剂26粘接在基板20上。
基板20例如由铝等金属形成,在内部设有冷却水路22作为冷却机构。卡盘功能部10例如由氧化铝等陶瓷形成,在表面上具备载置晶片(被吸附物)的多个卡盘区域R。
在各卡盘区域R中,在表面设有用于提供传热用气体的气孔30和与该气孔30连通且在水平方向上延伸的凹部32,在凹部32中岛状地配置有多个凸部34。在静电卡盘1的各卡盘区域R表面的多个凸部34上接触地载置晶片2。
这样,静电卡盘1的各卡盘区域R的表面设有与气孔30连通的凹部32和多个凸部34而成为凹凸形状(emboss形状)。由此,传热用气体从包含气孔30的气体路径L经过凹部32均匀地提供到晶片2的整个背面。
在静电卡盘1的各卡盘区域R的内部分别设有用于施加电压来吸附晶片2的电极40。电极40优选由钨(W)等高熔点金属形成。
另外,静电卡盘1的卡盘功能部10具备设于各卡盘区域R的外侧区域中的凹面部C。除了卡盘区域R以外,从它们之间的区域到周缘区域连续地形成了凹面部C。凹面部C的底面被配置于比卡盘功能部10的上表面低的位置。
并且,在静电卡盘1的凹面部C中配置有一体型的托盘50,该托盘50在与静电卡盘1的各卡盘区域R对应的部分处分别设有开口部50a。在托盘50的开口部50a的各个侧壁上,在厚度方向的下部分别设有向内侧突出的环状突出部52。
托盘50由氧化铝(Al2O3)、氮化铝(AlN)、氮化硅(SiN)、硅碳化物(SiC)或铝(Al)等金属等形成。
在静电卡盘1的周缘部,环状地配置有用于使托盘50上下移动的多个升降销54。
另外,在静电卡盘1的外周部,设有用于将等离子体封入到静电卡盘1内的由石英构成的对焦环56。
并且,通过在静电卡盘1的基板20的冷却水路22中流过冷却水等冷却剂来对各卡盘区域R进行冷却。
此外,在本实施方式的静电卡盘1中,在配置托盘50的凹面部C的下方的卡盘功能部10的内部设置有用于施加电压来吸附托盘50的电极40a,使得不仅能对晶片2进行冷却还能够充分冷却托盘50。
电极40、40a可以是由1个电极构成的单极方式,也可以采用涡旋型或梳齿型等双电极方式,并对双电极分别施加正(+)和负(-)的电压。
在图3的例子中,对各卡盘区域R的晶片2用的一个电极40施加正(+)的电压,对晶片2用的另一个电极40施加负(-)的电压。并且,对托盘50用的电极40a施加正(+)的电压。
这样,当对静电卡盘1的电极40、40a施加了规定电压时,通过静电引力将晶片2吸附于静电卡盘1。并且同时,托盘50也被吸附于静电卡盘1。
图4示出了俯视地观察本实施方式的静电卡盘的状态。在图4的例子中,在静电卡盘1上设有7个卡盘区域R,在各卡盘区域R上载置有晶片2。并且,在除去多个卡盘区域R以外的斜线阴影部中配置有托盘50。
配置托盘50的区域是卡盘功能部10的凹面部C。在斜线阴影部下方的区域中设有托盘50用的电极40a(图3)。另外,在静电卡盘1的周缘部配置有4个升降销54,在静电卡盘1的外周部具备对焦环56。
在将静电卡盘1安装于干蚀刻装置的情况下,在腔室内生成等离子体,在对载置于静电卡盘1上的多个晶片2进行冷却的状态下同时进行蚀刻。
如图5所示,当蚀刻结束时,通过使升降销54向上侧移动来使托盘50向上侧移动,各晶片2的周缘部搭载于托盘50的突出部52上而向上侧升起。此外,利用臂部(未图示)将搭载着晶片2的托盘50从腔室内运送到外部。
当在上述图3的状态下蚀刻晶片2时,托盘50也被暴露于等离子体中,不过,由于在托盘50下方的静电卡盘1中存在托盘50用的电极40a,所以托盘50成为充分吸附于静电卡盘1的状态。
因此,与晶片2同样,从等离子体施加给托盘50的热量从静电卡盘1释放到外部而得到充分冷却。由此,能够避免在蚀刻处理中晶片2的周缘部的温度变高的情况,在各晶片2内能够确保温度的均匀性。
结果,能够在各晶片2内抑制蚀刻速率、抗蚀剂或基底的选择比的偏差,提高晶片2内的蚀刻处理的合格率。
另外,因为托盘50在静电卡盘1上被充分冷却,所以托盘50的温度在蚀刻处理之后也被抑制到100℃以下。因此,不需要等待至托盘50得到冷却,能够在蚀刻处理结束之后立即利用臂部来运送托盘50。
由此,能够提高蚀刻处理的吞吐量(每单位时间的晶片处理能力),有助于生产效率的提高。
而且,因为臂部不会发生热膨胀,所以能够以良好的可靠性用臂部来运送托盘50。
此外,关于上述静电卡盘1,在基板20内设有冷却水路22,从而静电卡盘1自身具备冷却机构,不过,静电卡盘并非必须具备冷却机构。
在静电卡盘不具备冷却机构的情况下,在安装静电卡盘的各种制造装置的支撑台中设置冷却机构,把静电卡盘安装在该支撑台上来进行冷却。在以下说明的第2、第3实施方式中也是同样。
(第2实施方式)
图6是示出第2实施方式的静电卡盘的剖视图。
上述第1实施方式的图3的静电卡盘1是不向托盘50与静电卡盘1之间提供传热用气体的构造。第2实施方式的特征在于,即使向托盘与静电卡盘之间提供传热用气体也能够提高冷却效率。在图6中对与第1实施方式相同的要素标注同一符号并省略其详细的说明。
如图6所示,在第2实施方式的静电卡盘1a中,在配置托盘50的凹面部C的表面,也设有用于提供传热用气体的气孔30a和与该气孔30a连通的凹部32a。凹面部C的气孔30a作为与卡盘区域R的气孔30连接的分支线而设置。
通过从包含气孔30、30a的气体路径L向与气孔30、30a连通的凹部32、32a提供氦气等传热用气体,能够分别在晶片2以及托盘50各自的背面同时流过传热用气体。
由此,能够与晶片2同样地在静电卡盘1a侧经由传热用气体高效地释放掉托盘50从等离子体吸收的热量而进行冷却。因此,即使在为了实现精细加工而使用高密度等离子体的情况下,也能够充分冷却托盘50。
(第3实施方式)
图7是示出第3实施方式的静电卡盘的剖视图。在图7中对与第1实施方式相同的要素标注同一符号并省略其详细的说明。
如图7所示的静电卡盘1b那样,可分离为包含向晶片2提供传热用气体的气孔30的气体路径L1和包含向托盘50提供传热用气体的气孔30a的气体路径L2,成为彼此独立的线路。
这样,能够在晶片2与托盘50之间独立地调整传热用气体的流量和气体压力,所以能够在晶片2与托盘50之间改变冷却效率。例如,对于为了实现蚀刻特性或运送的稳定化等的状况而言,在与晶片2相比需要更积极地冷却托盘50时等,能够容易地进行应对。
(第4实施方式)
在上述第1~第3实施方式中,说明了对晶片进行冷却的类型的静电卡盘,在此情况下,传热用气体作为冷却用气体发挥功能。如图8所示,可以在基板20的内部取代冷却水路22而设置加热电极24作为加热机构,由此成为对晶片进行加热的类型的静电卡盘1c。
在此情况下,传热用气体作为加热用气体发挥功能。通过从电源(未图示)向加热电极24施加电压,由此从加热电极24产生热量,将晶片2和托盘50加热到规定温度。对晶片进行加热的类型的静电卡盘1c可应用于溅射装置、等离子体CVD装置等半导体/液晶制造装置。
在图8中,除了在基板20的内部设置加热电极24来代替冷却水路22以外,都与第1实施方式的图3的静电卡盘1相同。
在成为对晶片进行加热的类型的静电卡盘1c的情况下,与晶片2同样,托盘50也吸附于静电卡盘1c,所以将晶片2及其周边的托盘50加热至同一温度。因此,即使在对晶片2进行加热的情况下,也能够提高晶片2内的温度均匀性,能够提高各种工艺处理的可靠性。
此外,也可以不在静电卡盘1c中设置加热电极24,而是在安装静电卡盘1c的各种制造装置的支撑台上设置加热机构来加热静电卡盘。
另外,在成为将晶片加热至100℃以上的高温型静电卡盘的情况下,为了避免运送问题,作为运送托盘50的臂部,优选使用对于加热温度具有充分耐热性的特殊的臂部。
(半导体/液晶制造装置)
接着,对具备实施方式的静电卡盘的半导体/液晶制造装置进行说明。本实施方式的静电卡盘1、1a、1b、1c可应用于在半导体装置或液晶显示装置的制造工艺中使用的各种半导体/液晶制造装置。
在以下的说明中,以具备第1实施方式的受到冷却的静电卡盘1的干蚀刻装置为例来进行说明。
图9是示出实施方式的干蚀刻装置的剖视图。如图9所示,作为干蚀刻装置5,例示了平行平板型RIE装置。干蚀刻装置5具备腔室60,在腔室60的下侧配置有下部电极70。
在下部电极70的表面侧安装有上述第1实施方式的静电卡盘1,在静电卡盘1上载置有多个晶片2(被吸附物)。
下部电极70以及静电卡盘1与用于施加RF功率的高频电源74连接。高频电源74与用于取得RF功率的输出匹配的RF匹配器(未图示)连接。
在腔室60的上侧配置有作为下部电极70的相对电极的上部电极80,上部电极80接地。上部电极80与气体导入管82连结,将规定的蚀刻气体导入到腔室60内。
在腔室60的下部连接着排气管76,在排气管76的末端安装有真空泵。由此,使得因蚀刻而生成的反应生成物等通过排气管76排出到外部(废气处理装置)。
在腔室60附近的排气管76中设置有APC阀78(自动压力控制阀),自动调整APC阀78的开度,使得腔室60内成为设定压力。
在本实施方式的干蚀刻装置5中,从气体导入管82向腔室60内导入规定的蚀刻气体,通过APC阀78的作用将腔室60内设定为规定压力。并且,通过从高频电源74向静电卡盘1(下部电极70)施加RF功率来在腔室60内生成等离子体。
通过对静电卡盘1施加RF功率而在静电卡盘1侧形成负的自偏压,结果使等离子体中的正离子向静电卡盘1侧加速。由此,对形成于晶片2上的被蚀刻层进行各向异性蚀刻。
作为晶片2,在制造LED的情况下,可使用蓝宝石基板、硅碳化物(SiC)基板、氮化镓(GaN)基板等。并且,将抗蚀剂作为掩膜对形成于晶片2上的各种薄膜进行蚀刻。作为工艺气体,可使用氟系气体或氯系气体。
如上所述,在本实施方式的静电卡盘1(图3等)中,能够在托盘50下方的静电卡盘1中设置电极40a,使托盘50吸附于静电卡盘1。由此,与晶片2同样,托盘50也得到充分冷却。
因此,能够避免由于托盘50的温度上升而在晶片2内温度产生偏差的情况,并且避免运送托盘50的臂部发生热膨胀而产生运送问题的情况。
因此,能够在晶片2内,抑制被蚀刻层的蚀刻速率、抗蚀剂与基底层的选择比等的变动。由此,能够在晶片2内抑制蚀刻后的图案宽度等的偏差,能够提高晶片2内的蚀刻处理的合格率。
另外,即使在为了实现精细加工而使用高密度等离子体的情况下,也能够抑制托盘50的温度上升,所以能够获得稳定的蚀刻特性。
图9例示了将本实施方式的静电卡盘1应用于平行平板型干蚀刻装置的方式,但也可以应用于感应耦合型(ICP)等的干蚀刻装置。

Claims (6)

1.一种静电卡盘,其特征在于,该静电卡盘具备:
卡盘功能部,其具有载置被吸附物的多个卡盘区域和设于所述卡盘区域的外侧区域中的凹面部;以及
电极,其分别配置在与所述卡盘区域对应的所述卡盘功能部的内部和与凹面部对应的所述卡盘功能部的内部。
2.根据权利要求1所述的静电卡盘,其特征在于,
该静电卡盘还具备基板,该基板配置在所述卡盘功能部的下方,并具有冷却机构。
3.根据权利要求1所述的静电卡盘,其特征在于,
在所述卡盘区域以及所述凹面部各自的表面,分别设有提供传热用气体的气孔和与该气孔连通的凹部。
4.根据权利要求3所述的静电卡盘,其特征在于,
包含设于所述卡盘区域的表面的气孔的气体路径和包含设于所述凹面部的表面的气孔的气体路径是独立的不同路径。
5.一种半导体/液晶制造装置,其特征在于,该半导体/液晶制造装置具备:
腔室;
安装于所述腔室中的静电卡盘;以及
用于运送被吸附物的托盘,
所述静电卡盘具备:
卡盘功能部,其具有载置所述被吸附物的多个卡盘区域和设于所述卡盘区域的外侧区域中的凹面部;
电极,其分别配置在与所述卡盘区域对应的所述卡盘功能部的内部和与凹面部对应的所述卡盘功能部的内部,
所述托盘在与所述卡盘区域对应的部分处具有开口部,并且该托盘被配置于所述静电卡盘的凹面部。
6.根据权利要求5所述的半导体/液晶制造装置,其特征在于,
所述静电卡盘还具备基板,该基板配置在所述卡盘功能部的下方,并具有冷却机构,
所述半导体/液晶制造装置是干蚀刻装置。
CN201210273478.2A 2011-08-26 2012-08-02 静电卡盘以及半导体装置或液晶显示装置的制造装置 Active CN102956533B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2011-184285 2011-08-26
JP2011184285A JP6285620B2 (ja) 2011-08-26 2011-08-26 静電チャック及び半導体・液晶製造装置

Publications (2)

Publication Number Publication Date
CN102956533A true CN102956533A (zh) 2013-03-06
CN102956533B CN102956533B (zh) 2018-04-06

Family

ID=47741925

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201210273478.2A Active CN102956533B (zh) 2011-08-26 2012-08-02 静电卡盘以及半导体装置或液晶显示装置的制造装置

Country Status (5)

Country Link
US (1) US11037811B2 (zh)
JP (1) JP6285620B2 (zh)
KR (1) KR102056723B1 (zh)
CN (1) CN102956533B (zh)
TW (1) TWI563583B (zh)

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104134624A (zh) * 2013-05-02 2014-11-05 北京北方微电子基地设备工艺研究中心有限责任公司 托盘及等离子体加工设备
CN104465453A (zh) * 2013-09-20 2015-03-25 住友电气工业株式会社 等离子体cvd装置用的晶片加热器
CN104616958A (zh) * 2013-11-01 2015-05-13 松下知识产权经营株式会社 等离子处理装置以及等离子处理方法
CN105027274A (zh) * 2013-03-15 2015-11-04 应用材料公司 用于沉积腔室的基板支撑夹盘冷却
CN105280518A (zh) * 2014-05-30 2016-01-27 盛美半导体设备(上海)有限公司 半导体基板的热处理装置
CN105448631A (zh) * 2014-06-12 2016-03-30 中微半导体设备(上海)有限公司 一种基片安装平台和一种等离子处理装置及其运行方法
CN105551926A (zh) * 2015-12-11 2016-05-04 中国电子科技集团公司第四十八研究所 一种采用基座冷却旋转工件的旋转工件台
CN107113921A (zh) * 2015-08-20 2017-08-29 日本碍子株式会社 静电卡盘加热器
CN107710399A (zh) * 2016-05-09 2018-02-16 株式会社爱发科 静电吸盘及等离子处理装置
CN110678971A (zh) * 2017-06-16 2020-01-10 周星工程股份有限公司 基板处理装置和用于真空的旋转电连接器
CN110753995A (zh) * 2018-03-26 2020-02-04 日本碍子株式会社 静电卡盘加热器
CN113711343A (zh) * 2019-02-05 2021-11-26 应用材料公司 用于吸附用于沉积工艺的掩模的基板支撑件

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6348321B2 (ja) * 2013-05-17 2018-06-27 キヤノンアネルバ株式会社 エッチング装置
CN104752301B (zh) * 2013-12-31 2018-05-25 北京北方华创微电子装备有限公司 一种静电卡盘以及腔室
JP6377975B2 (ja) * 2014-06-23 2018-08-22 新光電気工業株式会社 基板固定装置
US10030303B2 (en) * 2014-12-19 2018-07-24 Sunpower Corporation Sputter tool
US9633886B2 (en) * 2015-04-16 2017-04-25 Varian Semiconductor Equipment Associates, Inc. Hybrid thermal electrostatic clamp
JP6858035B2 (ja) 2017-02-27 2021-04-14 新光電気工業株式会社 基板固定具及び基板固定装置
CN110770891B (zh) * 2017-10-30 2023-04-07 日本碍子株式会社 静电卡盘及其制法
KR102387008B1 (ko) 2017-11-06 2022-04-18 엔지케이 인슐레이터 엘티디 정전 척 어셈블리, 정전 척 및 포커스 링
JP6965776B2 (ja) * 2018-02-08 2021-11-10 トヨタ自動車株式会社 静電吸着搬送装置およびその方法
US20200286717A1 (en) * 2019-03-08 2020-09-10 Applied Materials, Inc. Electrostatic chuck for high bias radio frequency (rf) power application in a plasma processing chamber
JP6918042B2 (ja) * 2019-03-26 2021-08-11 日本碍子株式会社 ウエハ載置装置
TW202137326A (zh) * 2020-03-03 2021-10-01 日商東京威力科創股份有限公司 基板支持台、電漿處理系統及環狀構件之安裝方法
CN111900118B (zh) * 2020-06-19 2023-04-07 中国科学院微电子研究所 晶圆转移机构、半导体制造设备以及晶圆转移方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070144442A1 (en) * 2005-12-22 2007-06-28 Kyocera Corporation Susceptor
JP2010232250A (ja) * 2009-03-26 2010-10-14 Panasonic Corp プラズマ処理装置
JP2011114178A (ja) * 2009-11-27 2011-06-09 Samco Inc プラズマ処理装置及びプラズマ処理方法

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3090339B2 (ja) * 1990-03-19 2000-09-18 株式会社東芝 気相成長装置および方法
JP3297288B2 (ja) * 1996-02-13 2002-07-02 株式会社東芝 半導体装置の製造装置および製造方法
US6344105B1 (en) * 1999-06-30 2002-02-05 Lam Research Corporation Techniques for improving etch rate uniformity
US6646233B2 (en) * 2002-03-05 2003-11-11 Hitachi High-Technologies Corporation Wafer stage for wafer processing apparatus and wafer processing method
US20040261946A1 (en) * 2003-04-24 2004-12-30 Tokyo Electron Limited Plasma processing apparatus, focus ring, and susceptor
JP4547182B2 (ja) * 2003-04-24 2010-09-22 東京エレクトロン株式会社 プラズマ処理装置
JP2006319043A (ja) 2005-05-11 2006-11-24 Hitachi High-Technologies Corp プラズマ処理装置
US7736528B2 (en) * 2005-10-12 2010-06-15 Panasonic Corporation Plasma processing apparatus and plasma processing method
TW201118977A (en) * 2009-03-26 2011-06-01 Panasonic Corp Plasma processing apparatus and plasma processing method

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070144442A1 (en) * 2005-12-22 2007-06-28 Kyocera Corporation Susceptor
JP2010232250A (ja) * 2009-03-26 2010-10-14 Panasonic Corp プラズマ処理装置
JP2011114178A (ja) * 2009-11-27 2011-06-09 Samco Inc プラズマ処理装置及びプラズマ処理方法

Cited By (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105027274B (zh) * 2013-03-15 2018-06-01 应用材料公司 用于沉积腔室的基板支撑夹盘冷却
CN105027274A (zh) * 2013-03-15 2015-11-04 应用材料公司 用于沉积腔室的基板支撑夹盘冷却
CN108505010A (zh) * 2013-03-15 2018-09-07 应用材料公司 用于沉积腔室的基板支撑夹盘冷却
WO2014176922A1 (zh) * 2013-05-02 2014-11-06 北京北方微电子基地设备工艺研究中心有限责任公司 基片承载装置及等离子体加工设备
CN104134624A (zh) * 2013-05-02 2014-11-05 北京北方微电子基地设备工艺研究中心有限责任公司 托盘及等离子体加工设备
CN104465453A (zh) * 2013-09-20 2015-03-25 住友电气工业株式会社 等离子体cvd装置用的晶片加热器
CN104465453B (zh) * 2013-09-20 2018-10-30 住友电气工业株式会社 等离子体cvd装置用的晶片加热器
CN104616958A (zh) * 2013-11-01 2015-05-13 松下知识产权经营株式会社 等离子处理装置以及等离子处理方法
US11355323B2 (en) 2013-11-01 2022-06-07 Panasonic Intellectual Property Management Co., Ltd. Plasma processing apparatus and method therefor
CN104616958B (zh) * 2013-11-01 2018-09-21 松下知识产权经营株式会社 等离子处理装置以及等离子处理方法
CN105280518A (zh) * 2014-05-30 2016-01-27 盛美半导体设备(上海)有限公司 半导体基板的热处理装置
CN105448631A (zh) * 2014-06-12 2016-03-30 中微半导体设备(上海)有限公司 一种基片安装平台和一种等离子处理装置及其运行方法
CN105448631B (zh) * 2014-06-12 2017-07-25 中微半导体设备(上海)有限公司 一种基片安装平台和一种等离子处理装置及其运行方法
TWI575647B (zh) * 2014-06-12 2017-03-21 A substrate mounting platform and a plasma processing apparatus and a method of operating the same
CN107113921A (zh) * 2015-08-20 2017-08-29 日本碍子株式会社 静电卡盘加热器
CN107113921B (zh) * 2015-08-20 2020-09-11 日本碍子株式会社 静电卡盘加热器
CN105551926A (zh) * 2015-12-11 2016-05-04 中国电子科技集团公司第四十八研究所 一种采用基座冷却旋转工件的旋转工件台
CN107710399A (zh) * 2016-05-09 2018-02-16 株式会社爱发科 静电吸盘及等离子处理装置
CN107710399B (zh) * 2016-05-09 2021-04-16 株式会社爱发科 静电吸盘及等离子处理装置
CN110678971A (zh) * 2017-06-16 2020-01-10 周星工程股份有限公司 基板处理装置和用于真空的旋转电连接器
CN110678971B (zh) * 2017-06-16 2024-04-16 周星工程股份有限公司 基板处理装置和用于真空的旋转电连接器
CN110753995A (zh) * 2018-03-26 2020-02-04 日本碍子株式会社 静电卡盘加热器
CN110753995B (zh) * 2018-03-26 2023-10-03 日本碍子株式会社 静电卡盘加热器
CN113711343A (zh) * 2019-02-05 2021-11-26 应用材料公司 用于吸附用于沉积工艺的掩模的基板支撑件

Also Published As

Publication number Publication date
JP2013045989A (ja) 2013-03-04
TWI563583B (en) 2016-12-21
US20130048217A1 (en) 2013-02-28
JP6285620B2 (ja) 2018-02-28
KR20130023062A (ko) 2013-03-07
US11037811B2 (en) 2021-06-15
TW201310564A (zh) 2013-03-01
CN102956533B (zh) 2018-04-06
KR102056723B1 (ko) 2019-12-17

Similar Documents

Publication Publication Date Title
CN102956533A (zh) 静电卡盘以及半导体/液晶制造装置
KR101456894B1 (ko) 챔버로 가스를 방사상으로 전달하기 위한 장치 및 그 이용 방법들
US11043360B2 (en) Gas distribution plate assembly for high power plasma etch processes
JP4460288B2 (ja) 基板処理装置及び電力分配方法
JP5248524B2 (ja) 真空チャックを備えるベベルエッチャ
CN110504157B (zh) 基板处理方法和基板处理装置
JP4878109B2 (ja) 基板移載システムおよび基板移載方法
JP2011114178A (ja) プラズマ処理装置及びプラズマ処理方法
US10903055B2 (en) Edge ring for bevel polymer reduction
TWI767918B (zh) 電漿蝕刻方法、電漿蝕刻裝置及基板載置台
KR20160047994A (ko) 버퍼 스테이션을 통해 이송된 반도체 기판들의 열 제어를 위한 버퍼 스테이션 및 반도체 기판들을 이송하는 방법
JP2019160816A (ja) プラズマ処理方法及びプラズマ処理装置
JP4906012B2 (ja) 静電チャック
JP6561093B2 (ja) シリコン酸化膜を除去する方法
JP2017054854A (ja) プラズマ処理方法および電子部品の製造方法
US20140264954A1 (en) Passivation and warpage correction by nitride film for molded wafers
JP4843731B2 (ja) 真空処理装置
US11605551B2 (en) Chuck assembly, semiconductor device fabricating apparatus including the same, and method of fabricating semiconductor device
JP5436763B2 (ja) 気密モジュール、及び該気密モジュールの排気方法
WO2024095840A1 (ja) 基板処理装置、基板処理システム、およびクリーニング方法
JP2006093558A (ja) プラズマ処理方法、プラズマ処理装置及び記憶媒体
KR102201888B1 (ko) 포커스 링과 이를 포함하는 기판 처리 장치 및 포커스 링 제조 방법
TW202410261A (zh) 用於處理基板之設備
JP2006253222A (ja) エッチング方法及びエッチング装置
JP2022035863A (ja) ウェーハのプラズマエッチング方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant