CN102414809A - 负载锁定装置和处理系统 - Google Patents

负载锁定装置和处理系统 Download PDF

Info

Publication number
CN102414809A
CN102414809A CN2010800188932A CN201080018893A CN102414809A CN 102414809 A CN102414809 A CN 102414809A CN 2010800188932 A CN2010800188932 A CN 2010800188932A CN 201080018893 A CN201080018893 A CN 201080018893A CN 102414809 A CN102414809 A CN 102414809A
Authority
CN
China
Prior art keywords
load
atmosphere
load lock
gas
lock apparatus
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN2010800188932A
Other languages
English (en)
Inventor
阪上博充
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN102414809A publication Critical patent/CN102414809A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

本发明提供一种负载锁定装置,其真空室与大气室之间通过闸阀连结并且能有选择地实现真空气氛和大气压气氛,包括:负载锁定容器;支承单元,其具有设置于负载锁定容器内并遍及多层地支承多片被処理体的支承部;气体导入单元,其具有按照将负载锁定容器内的气氛恢复到大气压的大气压恢复气体作为冷却气体来喷射的方式与支承部对应地设置的气体喷射孔;和对负载锁定容器内的气氛进行真空排气的真空排气系统。

Description

负载锁定装置和处理系统
技术领域
本发明涉及在半导体晶片等被处理体实施处理的处理系统和使用其的负载锁定装置。
背景技术
一般而言,在制造半导体装置中,对半导体晶片反复实施成膜处理、氧化扩散处理、改性处理、蚀刻处理、退火处理等各种处理。而且,为了有效地实施各种处理,如例如专利文献1或者2等公开的那样,已知所谓群集工具(cluster tool)型的处理系统。该处理系统包含能维持真空气氛的共通搬送室和与共通搬送室连结的多个单片式(single wafer)处理装置。对各处理装置通过共通搬送室顺序地搬送半导体晶片,在各处理装置实施规定的处理。
此外,在该处理系统中,能有选择地实现真空气氛状态和大气压气氛状态的、一个或者多个小容量的负载锁定装置与共通搬送室连结。而且,为了在真空气氛的共通搬送室与大致大气压的外部环境之间进行半导体晶片的搬入搬出,通过将负载锁定装置内选择设定为真空气氛状态或者大气压气氛状态,能不破坏共通搬送室内的真空气氛,就能实施半导体晶片的搬入搬出操作。此处,负载锁定装置,具有用于使在处理装置中通过热处理而成为高温状态的半导体晶片冷却到安全的温度、例如100℃左右的冷却机构,例如冷却板等,由此,半导体晶片在冷却到100℃以下后能向外部取出。
现有技术文献
专利文献
专利文献1:日本特开2007-027378号公报
专利文献2:日本特开2007-194582号公报
发明内容
发明要解决的课题
在上述的处理系统中,以各处理装置是一片一片地处理半导体晶片的、所谓单片式的处理装置为前提。但是,最近也有提案将一次同时处理多片、例如4~25片左右的半导体晶片的处理装置编入的处理系统。
这种情况下,在上述的处理装置一次对4~25片左右的多片半导体晶片实施高温、例如150~700℃左右的热处理的情况下,如上述必须在将该半导体晶片冷却到安全温度的100℃以下之后向外部取出。
然而,现有技术的负载锁定装置是只能一片一片地冷却半导体晶片的结构。即,由于不能一次冷却多片半导体晶片,所以处理能力降低。因此,例如日本特开2003-332323号公报等公开那样,考虑遍及多层地保持半导体晶片的负载锁定室。但是,此处公开的负载锁定室是将不活泼气体气氛中的半导体晶片大气开放的设备,不能将日本特开2003-332323号公报公开的负载锁定室原封不动地用于在真空气氛与大气压气氛之间搬入搬出半导体晶片的负载锁定室。
鉴于上述理由,本发明提供一种能提高冷却效率并维持高的处理能力、且能以使多层的被处理体不产生面之间的温度差的方式均一地冷却的负载锁定装置和处理系统。
解决问题的方法
本发明的第一方面是提供一种真空室与大气室之间通过闸阀连结并且能有选择地实现真空气氛和大气压气氛的负载锁定装置,包括:负载锁定容器;支承单元,其具有设置于负载锁定容器内并遍及多层地支承多片被处理体的支承部;气体导入单元,其具有用于将大气压恢复用的气体作为冷却气体来喷射而与支承部对应地设置的气体喷射孔;和对负载锁定容器内的气氛进行真空排气的真空排气系统。
本发明的第二方面提供一种处理系统,包括:真空室,其与能够一次对多片被处理体进行热处理的处理室连结,并且由在内部设置用于搬送被处理体的真空搬送机构的真空搬送室组成;大气室,其使内部成为大气压或者接近大气压的压力的气氛,由设置用于搬送被处理体的大气搬送机构、并在与大气侧之间搬入或者搬出被处理体的大气搬送室组成;和设置于所述真空室与所述大气室之间的、第一方面所述的负载锁定装置。
本发明的第三方面提供一种处理系统,其包括:真空室,其由能够一次对多片被处理体进行热处理的处理室组成;大气室,其使内部成为大气压或者接近大气压的压力的气氛,并由设置用于搬送被处理体的大气搬送机构且在与大气侧之间搬入或者搬出被处理体的大气搬送室组成;和负载锁定装置,其设置于真空室与大气室之间,并设置为了在负载锁定容器内搬送被处理体而能屈伸和旋转的负载锁定用的搬送机构。
附图说明
【图1】是表示具有本发明的负载锁定装置的处理系统的一个例子的概略构成图。
【图2】是表示本发明的负载锁定装置的纵截面图。
【图3】是支承被处理体的支承单元的部分放大截面图。
【图4】是表示支承单元的支承部的一个例子的俯视图。
【图5】是表示负载锁定装置的变形实施例1的支承单元的截面的放大图。
【图6】是表示负载锁定装置的变形实施例2的支承单元的部分放大截面图。
【图7】是表示含有本发明的负载锁定装置的变形实施例三的处理系统的一个例子的概略俯视图。
具体实施方式
根据本发明的实施方式的负载锁定装置和处理系统,能提供下面那样的良好效果/优点。
在真空室与大气室之间通过闸阀连结并且能有选择地实现真空气氛和大气压气氛的负载锁定装置中,在负载锁定容器内设置支承单元,该支承单元具有遍及多层地支承多片被处理体的支承部,为了将使负载锁定容器内恢复到大气压的大气压恢复气体作为冷却气体来喷射,设置了具有对应支承部形成的气体喷射孔的气体导入单元,所以在将被处理体向大气室一侧搬出的时候,能提高冷却效率并维持高的处理能力,且能按照使多层的被处理体不产生面之间的温度差的方式均一地进行冷却。
特别是,若构成为还设置用于使负载锁定容器内的气氛的压力向外部开放的开放用排气系统,则能使已变热的冷却气体在负载锁定容器内恢复到大气压之后从负载锁定容器的上部积极地排出,就此能进一步提高冷却效率。
而且此外,若还具备设置于支承部的温度测量单元、和基于温度测量单元的测量值限制负载锁定容器与大气室之间的闸阀的开启动作的开启动作限制部,则在确实地使被处理体下降到希望的温度之后,能打开闸阀,并能提高安全性。
以下,根据附图说明本发明的实施方式的负载锁定装置和处理系统。
<处理系统>
首先,针对具有本发明的实施方式的负载锁定装置的处理系统进行说明。图1是表示具有本实施方式的负载锁定装置的处理系统的一个例子的概略构成图,图2是表示本实施方式的负载锁定装置的纵截面图,图3是支承被处理体的支承单元的部分放大截面图,和图4是表示支承单元的支承部的一个例子的俯视图。
首先,如图1所示,该处理系统2主要包括:多个、例如三个作为真空室起作用的第一~第三处理室4A、4B、4C;大致六角形的作为真空室起作用的真空搬送室6;具有负载锁定功能的第一和第二的本实施方式的负载锁定装置8、10;和细长的作为大气室起作用的大气搬送室12。
此处,三个处理室4A~4C内的两个处理室4A、4B分别是单片式的处理室,在各个载置台14A、14B载置有一片半导体晶片W,半导体晶片被一片一片地处理。对此,第三个处理室4C是所谓分批式(batchtype)的处理室,在该载置台14C能同时处理多片半导体晶片W,图示的例子中为四片半导体晶片W。该载置台14C,为了维持半导体晶片间的处理的均一性,例如做成能够旋转。在三个处理室4A~4C中,在真空气氛下根据需要能实施各种处理。特别是,在处理室4C中,能对半导体晶片实施热CVD、热扩散和退火等热处理,半导体晶片的温度也根据情况达到150~700℃左右。
而且,在大致六角形的真空搬送室6的三边,对应地结合有第一~第三的各处理室4A~4C,在其他侧的两边,分别结合有第一和第二负载锁定装置8、10。而且,与该第一和第二负载锁定装置8、10的相对一侧的面共通地连接有大气搬送室12。
在真空搬送室6与三个各处理室4A~4C之间,和在真空搬送室6与第一和第二负载锁定装置8、10之间,分别设置可开放且可气密地关闭的闸阀G,由此,处理室4A~4C以及第一和第二负载锁定装置8、10,根据需要能与真空搬送室6内连通。此处,该真空搬送室6内被真空排气为真空气氛。此外,在第一和第二负载锁定装置8、10与大气搬送室12之间,也分别设置可开放且能气密地关闭的闸阀G。该第一和第二负载锁定装置8、10如后述那样随着半导体晶片的搬出搬入,被真空排气,再被恢复至大气压。
然后,在该真空搬送室6内,在能到达两个负载锁定装置8、10和三个处理室4A~4C的位置,设置有由可屈伸和旋转的多关节臂组成的真空搬送机构16,其具有相互向相反方向独立的能屈伸的两个拾取器16A、16B,能一次处置两片半导体晶片。并且,作为真空搬送机构16也能使用仅具有一个拾取器的机构。
大气搬送室12由横向长的箱体形成,在该横向长的一侧设置一个或者多个(图示的例子中为三个)用于导入作为被处理体的半导体晶片的搬入口,在各搬入口设置能开闭的开闭门18。而且,对应该各搬入口,分别设置有导入端口20,能对应地载置盒式容器22。在各盒式容器22能以等间距多层地载置并收容多片、例如25片的半导体晶片W。
盒式容器22能密闭,在内部充满有N2气体等不活泼气体。大气搬送室12内利用例如N2气体或者净化空气维持为大致大气压。具体而言,大气搬送室12内维持为大气压、或者比大气压稍微大的正压(例如1.3Pa左右)状态。
此外,在大气搬送室12内设置用于将半导体晶片W沿着其长度方向搬送的大气搬送机构24。大气搬送机构24具有能屈伸和旋转地构成的两个拾取器24A、24B,能一次处置两片半导体晶片W。大气搬送机构24在大气搬送室12内可滑动移动地被支承在沿着其长度方向延伸设置的导轨26上。
此外,在大气搬送室12的一侧的端部设置有实施半导体晶片的对位的定位机构28。定位机构28具有通过驱动发动机来旋转的旋转台28A,半导体晶片W被载置其上并被旋转。在旋转台28A的外周设置有用于检测半导体晶片W的周边部的光学传感器28B,利用其能检测半导体晶片W的定位凹口、例如槽口或定向平面的位置和半导体晶片W的中心的位置偏移量。
处理系统2,为了控制系统整体的动作,具有例如由计算机等形成的系统控制部30。而且,该处理系统整体的动作控制所需要的程序存储在软盘、CD(Compact Disc)、硬盘或闪存等存储介质32上。具体而言,通过来自该系统控制部30的指令,来实施各气体供给的开始、停止(各开闭阀的开闭)、流量控制、工序温度(半导体晶片温度)、工序压力(处理容器内的压力)的控制、各闸阀G的开闭、和半导体晶片的搬送操作等。
<负载锁定装置的说明>
接着参照图2到图4针对负载锁定装置8、10进行说明。这两个负载锁定装置8、10构成为相互相同的结构,且进行相同的动作,因此此处以一个负载锁定装置8为例进行说明,省略另一个负载锁定装置10的说明。
如图2所示,负载锁定装置8具有成形为纵向长的负载锁定容器34。该负载锁定容器34由例如铝合金或不锈钢等金属形成为箱状。在负载锁定容器34的一侧的中段设置有用于搬出搬入半导体晶片W的搬出搬入口36,在搬出搬入口36经由闸阀G连结有真空搬送室6。此外,在负载锁定容器34的另一侧的中段,与真空搬出搬入口36相对的位置设置有用于搬出搬入半导体晶片W的搬出搬入口38,在搬出搬入口38经由闸阀G连结有大气搬送室12。
而且,在负载锁定容器34的底部34A设置有真空排气口40,在真空排气口40设置有对该负载锁定容器34进行真空排气的真空排气系统42。具体而言,真空排气系统42具有与真空排气口40连接的真空排气用气体通路44,在真空排气用气体通路44顺序地设置开闭阀46和真空泵48。
而且,在负载锁定容器34内设置有支承单元50,该支承单元50具有遍及多层地支承多片作为被处理体的半导体晶片W的支承部52。该支承单元50如图3和图4所示具有立起的多根支柱,此处具有配置为方形的四根支柱54A、54B、54C、54D。而且,这四根支柱54A~54D的上端部与顶板56连结成一体,另外下端部与底板58连结成一体。此处,支柱54A与支柱54C以在它们之间能配置半导体晶片W的方式按照比半导体晶片W的直径稍微大的间隔被配置,支柱54B与支柱54D也以在它们之间能配置半导体晶片W的方式按照比半导体晶片W的直径稍微大的间隔被配置。
而且,在支柱54A~54D,沿着其长度方向以规定的间距遍及多层、即遍及四层地安装有支承部52,在此能保持四片半导体晶片。在此,支承部52,由相向配置的一对搁板部件58A、58B组成,该一对搁板部件58A、58B内的一个搁板部件58A以在两根支柱54A、54B之间桥跨的方式被水平地安装,另一个搁板部件58B以在两根支柱54C、54D之间桥跨的方式水平地被安装。
而且,搁板部件58A、58B的相对一侧形成为沿着半导体晶片W的周围的圆弧形状。以搁板部件58A、58B的上表面一侧与半导体晶片W的周边部的背面(下表面)接触的方式,半导体晶片W被载置于搁板部件58A、58B,半导体晶片W被支承。设置有支承部52的规定的间距,以保持半导体晶片W的真空搬送机构16的拾取器16A、16B和大气搬送机构24的拾取器24A、24B能够进入的方式被设定在例如10~30mm的范围内。
这种情况下,在图4中,各拾取器16A、16B、24A、24B进入支柱54A、54B与支柱54C、54D之间,箭头60所示的方向成为搬出搬入方向。并且,在图1中,为容易理解本实施方式的结构,表示从90度不同的方向看支承单元50的状态。此处支承单元50由从陶瓷材、石英、金属和耐热性树脂形成的群中选择的一个以上的材料形成。具体而言,支柱54A~54B、顶板56、底板58优选由铝合金等金属制作,与半导体晶片W直接的接触的支承部52优选由石英和陶瓷材等耐热部件制作。
而且,为了向支承单元50喷射作为使负载锁定容器34恢复到大气压的大气压恢复气体的冷却气体,设置具有对应支承部52设置的气体喷射孔74的气体导入单元72。具体而言,气体导入单元72具有在支承单元50形成的气体导入路径76。此处在四根各支柱54A~54D内沿着其长度方向分别形成气体导入路径76,以从气体导入路径76贯通作为支承部52的各搁板部件58内的方式,气体喷嘴78朝向水平方向而形成。
因而,该气体喷嘴78的前端成为气体喷射孔78。由此,能对应于支承部52将冷却气体向水平方向喷射。因而,此处对一片半导体晶片W由从四个气体喷射孔74喷射的冷却气体来冷却。并且,对该一片半导体晶片W的气体喷射孔74的数目不限定于四个,可以比其少,也可以比其多。
而且在底板58形成有与四根气体导入路径76共同连通的连通路径80(参照图3),连通路径80与气密地贯通负载锁定容器34的底部34A并向外部引出的气体管82连接。而且在位于负载锁定容器34内的气体管82的局部设置有可伸缩的皱折部82A,对应于支承单元50的升降,皱折部82A能随其伸缩。
此外,在该气体管82的中途设有开闭阀84,能根据需要供给大气压恢复气体作为冷却气体。作为该大气压恢复气体(冷却气体),可使用He气、Ar气等稀有气体或N2气等不活泼气体,此处使用N2气体。这种情况下,如果冷却气体的温度过度地低,高温状态的半导体晶片被急剧地冷却而可能会破损,所以冷却气体的温度优选对应于应该冷却的半导体晶片温度而设定。例如冷却气体的温度在室温左右即可。
而且,以上述方式形成的支承单元50的底板58设置于升降台62上,能使支承单元50向上下方向升降。具体而言,升降台62安装于升降杆64的上端部,所述升降杆64插通形成于负载锁定容器34的底部34A的贯通孔66。在升降杆64的下端部安装有驱动器68,能使升降杆64向上下方向升降。这种情况下,驱动器68能使升降台62在上下方向任意的位置对应于支承部52的位置多阶段地停止。此外在升降杆64的贯通孔66的部分安装有可伸缩的金属制波纹管(bellows)70,能一边维持负载锁定容器34内的气密性一边使升降杆64上下动。
此外,参照图2,在负载锁定容器34设置有用于使负载锁定容器34内的气氛的压力向外部开放的开放用排气系统90。具体而言,开放用排气系统90具有设置于负载锁定容器34的上部的气体排气口92。此处气体排气口92设置于负载锁定容器34的顶板部34B。而且,在该气体排气口92连接开放用气体通路94,在开放用气体通路94的中途设置安全阀96。安全阀96在安全阀96的入口与出口处的压力差超过规定的压力差时开启。因而,负载锁定容器34内的压力在比该开放用气体通路94的下流一侧的压力仅大规定的压力时安全阀96打开。
此处开放用气体通路94与作为大气室的大气搬送室12内连通。并且,也可以使开放用气体通路94的下流一侧向大气一侧(设置处理系统2的清洁室内)开放。安全阀96进行开启动作的规定的压力差设定为例如1.3Pa左右。
而且,在支承单元50的支承部52设置有例如热电偶98作为测度测量单元,能测量由支承部52支承的半导体晶片的温度。而且,热电偶98的测量值被输入由例如计算机等形成的开启动作限制部100。而且,当热电偶98测量出规定的安全温度、例如100℃时,开启动作限制部100将大气搬送室12的闸阀G的开启动作许可信号向系统控制部30输出。此处,热电偶98,在设置为多层的支承部52内,设置在位于最上层的支承部52,但是也可以将该热电偶98设置于两层以上的支承部52、或者设置于全部四层的支承部52,在全部的热电偶98的测量值测定为100℃时将开启动作许可信号输出。并且,如上述,另一个第二负载锁定装置10也与上述的第一负载锁定装置8如上述那样相同地构成。
<处理系统和负载锁定装置的动作的说明>
针对这样构成的处理系统2和负载锁定装置8、10的概略的动作进行说明。首先,从设置于导入端口20的盒式容器22,由未处理的例如硅基板形成的半导体晶片W通过大气搬送机构24被取入到大气搬送室12内,该被取入的半导体晶片W被搬向设置于大气搬送室12的一端的定位机构28,在此定位。
被定位的半导体晶片W再次被大气搬送机构24搬送,被搬入第一或第二负载锁定装置装置8、10内任一方的负载锁定装置内。通过将上述半导体晶片W的搬送操作重复四次,四片半导体晶片W被支承在负载锁定装置内的支承单元50。然后,在对该负载锁定装置内进行真空排气后,使用预先已真空排气的真空搬送室6内的真空搬送机构16,负载锁定装置内的未处理的半导体晶片W被取入真空搬送室6内。
该未处理的半导体晶片W,在例如第一处理室4A和第二处理室4B内按顺序被实施规定的处理之后,被搬入第三处理室4C内。这样做,四片半导体晶片W被全部按上述的顺序实施规定的处理时,四片半导体晶片W被载置在第三处理室4C的载置台14C上。然后,在该第三处理室4C内实施热CVD、退火、或者热氧化扩散等规定的热处理,半导体晶片温度根据情况被加热到例如150~700℃左右。
这样,在第三处理室4C内规定的热处理结束时,该高温的半导体晶片W通过真空搬送机构16被顺序地搬送到第一和第二负载锁定装置8、10内的任一方的预先维持在真空状态的负载锁定装置内、例如第一负载锁定装置8内的支承单元50并被多层地支承。然后,关闭真空搬送室6一侧的闸阀G使第一负载锁定装置8密闭,一边向该负载锁定装置8内导入作为大气压恢复气体且作为冷却气体的N2气体一边冷却四片半导体晶片W。
然后,该负载锁定装置8内恢复到大气压时安全阀96进行开启动作来取得与大气搬送室12之间的压力均衡,然后,若半导体晶片W的温度为100℃以下,则打开大气搬送室12一侧的闸阀G使该负载锁定装置8内与大气搬送室12内连通,负载锁定装置8内的四片处理完的半导体晶片W被大气搬送机构24顺序地取出,回到收容处理完的半导体晶片的盒式容器22内。以后重复实施相同的操作。
接着,针对负载锁定装置8的动作进行详细的说明。首先,针对在大气搬送机构24的拾取器24A、24B或者真空搬送机构16的拾取器16A、16B与负载锁定装置8的支承单元50之间实施半导体晶片W的交接的情况进行说明。此处,以使用真空搬送机构16的拾取器16A的情况为例进行说明。
在将由拾取器16A保持的半导体晶片W移载至支承单元50的支承部52上,将保持半导体晶片W的拾取器16A插入支承对象的支承部52的上方,在该状态下通过驱动驱动器68,使支承单元50的整体仅上升规定的距離,由此由拾取器16A保持的半导体晶片W被交接至支承部52上并被支承。然后,通过拔出拾取器16A来结束移载。
与上述相反,在将支承于支承部52上的半导体晶片W移载至拾取器16A时,使空的拾取器16A插入支承应被移载的半导体晶片W的支承部52的下方,通过驱动驱动器68使支承单元50整体仅下降规定的距离。由此被支承部52支承的半导体晶片W被交接到拾取器16A上。然后,通过拔出保持半导体晶片W的拾取器来结束移载。在此如上述将支承部52的间距设定在10~30mm的范围内,因此能使支承单元50小型化,进而能使支承单元50的升降冲程缩短,并能进行处理能力高的交接。
接着,根据以下的动作,在冷却热处理后的高温的半导体晶片W的同时,使负载锁定容器34内的压力恢复到大气压。如上述,通过在第三处理室4C内的热处理而成为150~700℃左右的高温的四片半导体晶片W,在任一方的负载锁定装置的预先成为真空状态的负载锁定容器34内的支承单元50的各支承部52使用真空搬送机构16被支承(参照图2)。
然后,通过关闭真空搬送室6一侧的闸阀G,将该负载锁定容器34内密闭。接着,打开气体导入单元72的开闭阀84以规定的流量导入兼作大气压恢复气体和冷却气体的N2气体。该导入的N2气体经由气体管82在形成于支承单元50的各支柱54A~54D的各气体导入路径76内流动,进而从作为与该气体导入路径76连通的各气体喷嘴78的前端的各气体喷射孔74向水平方向喷射,并到达半导体晶片W的背面。
其结果是,由于该气体喷射孔74对应各支承部52地设置,所以被该各支承部52支承的四片半导体晶片W利用喷射的N2气体大致同时被冷却。在这种情况下,由于对一片半导体晶片W通过从四个气体喷射孔74喷射的N2气体来冷却,因此能有效地冷却半导体晶片W。此外,因为如上述从设置于各支承部52的气体喷射孔74喷射N2气体,所以能提高冷却效率并维持高的处理能力。而且各半导体晶片以相同的冷却速度冷却,能在各半导体晶片间不产生温度差地使全体半导体晶片均一地冷却。
这样,在各半导体晶片W被冷却的同时负载锁定容器34内逐渐恢复到大气压,当压力比大气压稍微大时,在开放用排气系统90的开放用气体通路94的中途设置的安全阀96实施开启动作,释放该负载锁定容器34内的压力取得与大气搬送室12之间的压力均衡。这时,通过负载锁定容器34内的半导体晶片的冷却而加热的N2气体在负载锁定容器34的上部存储。然后,该被加热暖的N2气体从设置于顶板部34B的气体排气口92向开放用气体通路94一侧被积极地排出,并且作为新的冷却气体的N2气体被顺序地导入,所以能进一步提高冷却高比例。
这时,作为已热的冷却气体的排出地点的大气搬送室12内,如上述成为只比大气压稍微大的正压。因而,负载锁定容器34内,成为比大气压仅高出正压部分与安全阀96的压差部分的合计压力部分的压力的气氛。此外,在这样的恢复大气压的过程中,通过设置在支承部52的热电偶98测量半导体晶片W的温度,当该测量值为安全温度以下、例如100℃以下时,开启动作限制部100向系统控制部30输出开启动作许可信号。于是,系统控制部30,关闭气体导入单元72的开闭阀84且停止N2气体的供给,并且打开该负载锁定容器34与大气搬送室12之间的闸阀G,实施被冷却到100℃以下的半导体晶片W的如上述那样的搬出操作。
这时,也可以不设置热电偶98和开启动作限制部100,通过冷却前的半导体晶片温度与冷却气体的供给时间之间的关系预先求出半导体晶片温度变到100℃以下所需要的时间,将该时间作为参数存储在系统控制部30来进行控制。据此,通过参照该参数,能实施冷却气体的停止供给和闸阀的开启动作。
这样,根据本实施方式,在真空室与大气室之间经由闸阀连结并且能有选择地实现真空气氛与大气压气氛的负载锁定装置中,设置具有在负载锁定容器34内遍及多层支承多片被处理体、例如半导体晶片W的支承部52的支承单元50,并且为了将大气压恢复用的气体作为冷却气体来喷射而设置具有对应于支承部52形成的气体喷射孔74的气体导入单元72,因此在将被处理体向大气室一侧搬出的时候,能提高冷却效率并维持高的处理能力,且能以使多层被处理体的面之间不产生温度差的方式均一地进行冷却。
此外,通过还设置用于使负载锁定容器34内的压力向外部开放的开放用排气系统90,能使已热的冷却气体在负载锁定容器34的大气压恢复后从负载锁定容器34的上部积极地排出,这样能进一步提高冷却效率。
而且,通过还具备设置于支承部52的温度测量单元98和基于温度测量单元98的测量值限制负载锁定容器34与大气室之间的闸阀G的开启动作的开启动作限制部100,在使被处理体确实降至希望的温度后,能打开闸阀G,并能提高安全性。
<变形实施例1>
接着,针对本实施方式的负载锁定装置的变形实施例进行说明。在上述的例子中,作为支承半导体晶片W的支承部52,搁板部件58A、58B按照搁板部件58A桥跨于支柱54A与54B之间、且搁板部件58B桥跨于支柱54C与54D间的方式配置,但是不限定于此,也可以对应于支柱58A~58D分别设置销部件。图5是表示这样的负载锁定装置的变形实施例1的支承单元的截面的放大图。并且,在图5中,对与图1到图4中说明的结构部分相同的结构部分赋予相同参照符号。
如上述,此处对应于支承单元50的各支柱54A~54D,将作为支承部52的单体的销部件102A、102B、102C、102D朝向水平方向设置。而且,以半导体晶片W的背面与该销部件102A~102D的上表面接触的方式,由销部件102A~102D支承半导体晶片W。这种情况下,作为销部件102A~102D的材料能使用与搁板部件58A、58B相同的材料。而且,在该销部件102A~102D,分别形成连通气体导入路径76且与图4中所示的机构相同结构的气体喷嘴78和气体喷射孔74,并且喷射例如N2气体作为兼用大气压恢复气体和冷却气体的不活泼气体。在该变形实施例1的情况下,也能提供与前面的实施例相同的效果/优点。
<变形实施例2>
接着针对本实施方式的负载锁定装置的变形实施例2进行说明。在上述的实施例中,在由搁板部件58A、58B或销部件102A~102D形成的支承部52设置有气体喷嘴78和气体喷射孔74,但是不限定于此,也可以将气体喷嘴78和气体喷射孔74分别设置于支柱54A~54D。
图6是表示这样的负载锁定装置的变形实施例2的支承单元的部分放大截面图。并且,在图6中,对与图1到图5中说明的结构部分相同的结构部分赋予相同参照符号。如上述,此处在由搁板部件58A、58B或销部件102A~102D构成的支承部52的下方,分别形成在各支柱54A~54D与气体导入路径76连通的气体喷嘴78和气体喷射孔74。而且,从该气体喷射孔74喷射例如N2气体作为兼用大气压恢复用气体和冷却气体的不活泼气体。
在该变形实施例2的情况下,也能提供与前面的各实施例相同的效果/优点。而且,在该变形实施例2中,也可以在支柱54A~54D的高度方向的不同位置还设置另外的气体喷嘴78和气体喷射孔74而能导入多量的N2气体。
<变形实施例3>
接着针对本实施方式的负载锁定装置的变形实施例3进行说明。在上述实施例中,以与负载锁定装置中的一方连结作为真空室的真空搬送室6的情况为例进行了说明,但是不限定于此,作为真空室也可以连结一次实施多片的热处理的处理室4C。图7是表示具有这样的本发明的实施方式的负载锁定装置的变形实施例3的处理系统的一个例子的概略俯视图。并且,在图7中,对与图1到图6中说明的结构部分相同的结构部分赋予相同参照符号。
如上述,此处在负载锁定装置8(10)的一端,不连结真空搬送室6,而是经由闸阀G直接地连结作为真空室的处理室4C。如上述,在该处理室4C中,在真空气氛下一次对四片半导体晶片W实施热处理。这种情况下,稍微长地设置负载锁定容器34的横方向的长度,在该负载锁定容器34内,与支承单元50直列地设置真空搬送机构16。
在这种情况下,该真空搬送机构16具有上下排列成两层的拾取器16A、16B,且可上下升降。通过该真空搬送机构16,在处理室4C内的载置台14C与负载锁定容器34内的支承单元50之间实施半导体晶片W的交接。这时,作为该支承单元50,能适用前面参照图1到图6说明的全部的支承单元。在这样的变形实施例3的情况下,也能提供与前面的实施例相同的效果/优点。
并且,在以上的实施例中支承单元50具有上下方向配置的四个支承部52(四层的支承部52),但是支承部52的数目,只要是多个,就不限定于此。例如在一个盒式容器内能收容25片半导体晶片片数,因此,伴随其,支承单元50也可以具有25个支承部52(25层的支承部52)。同样,在处理室4C中能一次进行热处理的半导体晶片片数也不限定于四片。优选使支承部52的数目与在处理室4C中一次能处理的半导体晶片片数相同。
此外,在以上的实施例中,在支承单元50的各支柱54A~54D内形成气体导入路径76,但是不限定于此,也可以在支柱54A~54D的外侧,沿其设置形成气体导入路径76的气体管。
此外,此处作为被处理体举例表示了半导体晶片,该半导体晶片也包含硅基板、和GaAs、SiC及GaN等化合物半导体基板,而且不限定于这些基板,也能在液晶显示装置使用的玻璃基板或陶瓷基板等适用本发明。
本国际申请基于2009年8月29日申请的日本国专利申请2009-199103号主张优先权,在此引用其全部内容。

Claims (16)

1.一种负载锁定装置,其真空室与大气室之间经由闸阀连结并能有选择地实现真空气氛与大气压气氛,该负载锁定装置的特征在于,包括:
负载锁定容器;
支承单元,其具有设置于所述负载锁定容器内并遍及多层地支承多片被处理体的支承部;
气体导入单元,其具有按照将所述负载锁定容器内的气氛恢复至大气压的大气压恢复气体作为冷却气体喷射的方式与所述支承部对应地设置的气体喷射孔;和
对所述负载锁定容器内的气氛进行真空排气的真空排气系统。
2.如权利要求1所述的负载锁定装置,其特征在于:
所述支承单元具有立起的多根支柱,所述支承部以规定的间距设置于所述支柱。
3.如权利要求1所述的负载锁定装置,其特征在于:
所述气体导入单元具有形成于所述支承单元的气体导入路径。
4.如权利要求1所述的负载锁定装置,其特征在于:
所述支承单元设置在能够升降的升降台上。
5.如权利要求1所述的负载锁定装置,其特征在于:
所述支承部具有与所述被处理体的背面接触的搁板部件。
6.如权利要求1所述的负载锁定装置,其特征在于:
所述支承部具有与所述被处理体的背面接触的销部件。
7.如权利要求1所述的负载锁定装置,其特征在于:
还设置有用于将所述负载锁定容器内的气氛的压力向外部开放的开放用排气系统。
8.如权利要求7所述的负载锁定装置,其特征在于:
所述开放用排气系统的气体排气口设置在所述负载锁定容器的上部。
9.如权利要求7所述的负载锁定装置,其特征在于:
所述开放用排气系统具有在所述负载锁定容器内的压力超过规定压力时开启而与大气连通的安全阀。
10.如权利要求7所述的负载锁定装置,其特征在于:
所述开放用排气系统具有在所述负载锁定容器内的压力超过规定压力时开启而与所述大气室连通的安全阀。
11.如权利要求1所述的负载锁定装置,其特征在于:
所述大气室能被维持在比大气压稍大的正压。
12.如权利要求1所述的负载锁定装置,其特征在于,还包括:
设置于所述支承部的温度测量单元;和
基于该温度测量单元的测量值对所述负载锁定容器与所述大气室之间的闸阀的开启动作进行限制的开启动作限制部。
13.如权利要求1所述的负载锁定装置,其特征在于:
所述支承单元由选自陶瓷材料、石英、金属和耐热性树脂中的一种以上的材料形成。
14.如权利要求1所述的负载锁定装置,其特征在于:
在所述负载锁定容器内设置有用于搬送所述被处理体的能够屈伸和旋转的负载锁定用的搬送机构。
15.一种处理系统,其特征在于,包括:
真空室,其由与能够一次将多片被处理体进行热处理的处理室连结,在内部具有用于搬送所述被处理体的真空搬送机构的真空搬送室形成;
大气室,其由内部为大气压或接近大气压的压力气氛,设置有用于搬送所述被处理体的大气搬送机构、并将所述被处理体在与大气一侧之间搬入或搬出的大气搬送室形成;和
设置于所述真空室与所述大气室之间的、权利要求1所述的负载锁定装置。
16.一种处理系统,其特征在于,包括:
真空室,其由能够一次对多片被处理体进行热处理的处理室形成;
大气室,其由内部为大气压或接近大气压的压力气氛,设置有用于搬送所述被处理体的大气搬送机构、并将所述被处理体在与大气一侧之间搬入或搬出的大气搬送室形成;和
设置于所述真空室与所述大气室之间的、权利要求14所述的负载锁定装置。
CN2010800188932A 2009-08-29 2010-08-23 负载锁定装置和处理系统 Pending CN102414809A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2009199103A JP2011049507A (ja) 2009-08-29 2009-08-29 ロードロック装置及び処理システム
JP2009-199103 2009-08-29
PCT/JP2010/064194 WO2011024762A1 (ja) 2009-08-29 2010-08-23 ロードロック装置及び処理システム

Publications (1)

Publication Number Publication Date
CN102414809A true CN102414809A (zh) 2012-04-11

Family

ID=43627867

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2010800188932A Pending CN102414809A (zh) 2009-08-29 2010-08-23 负载锁定装置和处理系统

Country Status (6)

Country Link
US (1) US20120170999A1 (zh)
JP (1) JP2011049507A (zh)
KR (1) KR20120058592A (zh)
CN (1) CN102414809A (zh)
TW (1) TW201125066A (zh)
WO (1) WO2011024762A1 (zh)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2013173999A1 (en) * 2012-05-24 2013-11-28 Acm Research (Shanghai) Inc. Loadlock chamber and method for treating substrates using the same
CN103594401A (zh) * 2012-08-16 2014-02-19 盛美半导体设备(上海)有限公司 载锁腔及使用该载锁腔处理基板的方法
CN107275251A (zh) * 2016-04-08 2017-10-20 上海新昇半导体科技有限公司 降低预抽腔体中芯片温度的方法及芯片降温装置
CN113035758A (zh) * 2020-12-31 2021-06-25 中科晶源微电子技术(北京)有限公司 腔室装置、晶片输送设备和晶片处理方法
TWI740301B (zh) * 2018-12-11 2021-09-21 日商平田機工股份有限公司 負載鎖定室
CN114127332A (zh) * 2019-09-06 2022-03-01 佳能安内华股份有限公司 负载锁定装置

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SG11201406746RA (en) 2012-04-19 2015-03-30 Intevac Inc Dual-mask arrangement for solar cell fabrication
JP6231078B2 (ja) * 2012-04-26 2017-11-15 インテヴァック インコーポレイテッド 真空プロセスのためのシステム構成
US10062600B2 (en) 2012-04-26 2018-08-28 Intevac, Inc. System and method for bi-facial processing of substrates
JP2014112638A (ja) * 2012-11-07 2014-06-19 Tokyo Electron Ltd 基板冷却部材、基板処理装置及び基板処理方法
US9281221B2 (en) * 2012-11-16 2016-03-08 Taiwan Semiconductor Manufacturing Company Limited Ultra-high vacuum (UHV) wafer processing
TWI624897B (zh) * 2013-03-15 2018-05-21 應用材料股份有限公司 多位置批次負載鎖定裝置與系統,以及包括該裝置與系統的方法
CN106688088B (zh) 2014-08-05 2020-01-10 因特瓦克公司 注入掩膜及对齐
WO2017022366A1 (ja) * 2015-08-04 2017-02-09 株式会社日立国際電気 基板処理装置、半導体装置の製造方法および記録媒体
DE202016104588U1 (de) * 2015-09-03 2016-11-30 Veeco Instruments Inc. Mehrkammersystem für chemische Gasphasenabscheidung
CN107275249B (zh) * 2016-04-08 2020-05-05 东方晶源微电子科技(北京)有限公司 真空腔体装置和处理硅片的方法
US11361981B2 (en) * 2018-05-02 2022-06-14 Applied Materials, Inc. Batch substrate support with warped substrate capability
JP7210960B2 (ja) * 2018-09-21 2023-01-24 東京エレクトロン株式会社 真空処理装置及び基板搬送方法
US20200126826A1 (en) * 2018-10-18 2020-04-23 Applied Materials, Inc. Load lock body portions, load lock apparatus, and methods for manufacturing the same
JP2020145329A (ja) 2019-03-07 2020-09-10 日新イオン機器株式会社 基板収容装置
CN113543920B (zh) * 2019-03-14 2023-04-11 东京毅力科创株式会社 接合系统和接合方法
KR20210081729A (ko) * 2019-12-24 2021-07-02 에스케이하이닉스 주식회사 반도체 테스트 시스템 및 방법
US11557496B2 (en) * 2020-03-23 2023-01-17 Applied Materials, Inc. Load lock with integrated features
KR102515863B1 (ko) * 2020-03-24 2023-03-31 주식회사 히타치하이테크 진공 처리 장치
CN116724387A (zh) * 2021-03-15 2023-09-08 株式会社国际电气 基板处理装置、半导体装置的制造方法以及程序

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10229111A (ja) * 1997-02-18 1998-08-25 Hitachi Ltd 半導体製造装置
JP2002299262A (ja) * 2001-03-30 2002-10-11 Tokyo Electron Ltd ロードロック室及びその排気方法
JP2003124284A (ja) * 2001-10-11 2003-04-25 Hitachi Kokusai Electric Inc 基板処理装置および半導体装置の製造方法
CN101094931A (zh) * 2003-09-03 2007-12-26 Otb集团有限公司 用于处理基底的系统和方法
JP2009055001A (ja) * 2007-07-10 2009-03-12 Applied Materials Inc 垂直反応器におけるバッチ処理のための方法および装置

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100310249B1 (ko) * 1995-08-05 2001-12-17 엔도 마코토 기판처리장치
US6143081A (en) * 1996-07-12 2000-11-07 Tokyo Electron Limited Film forming apparatus and method, and film modifying apparatus and method
JP3480271B2 (ja) * 1997-10-07 2003-12-15 東京エレクトロン株式会社 熱処理装置のシャワーヘッド構造
US7515264B2 (en) * 1999-06-15 2009-04-07 Tokyo Electron Limited Particle-measuring system and particle-measuring method
JP4268303B2 (ja) * 2000-02-01 2009-05-27 キヤノンアネルバ株式会社 インライン型基板処理装置
EP1296351A4 (en) * 2000-06-27 2009-09-23 Ebara Corp INVESTIGATION DEVICE FOR LOADED PARTICLE RAYS AND METHOD FOR PRODUCING A COMPONENT ELEVATED WITH THIS INSPECTION DEVICE
US7622392B2 (en) * 2005-02-18 2009-11-24 Tokyo Electron Limited Method of processing substrate, method of manufacturing solid-state imaging device, method of manufacturing thin film device, and programs for implementing the methods
JP4911555B2 (ja) * 2005-04-07 2012-04-04 国立大学法人東北大学 成膜装置および成膜方法
KR100937753B1 (ko) * 2005-08-15 2010-01-20 가부시기가이샤 에프티엘 반도체의 표면 처리법
JP5549441B2 (ja) * 2010-01-14 2014-07-16 東京エレクトロン株式会社 保持体機構、ロードロック装置、処理装置及び搬送機構

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10229111A (ja) * 1997-02-18 1998-08-25 Hitachi Ltd 半導体製造装置
JP2002299262A (ja) * 2001-03-30 2002-10-11 Tokyo Electron Ltd ロードロック室及びその排気方法
JP2003124284A (ja) * 2001-10-11 2003-04-25 Hitachi Kokusai Electric Inc 基板処理装置および半導体装置の製造方法
CN101094931A (zh) * 2003-09-03 2007-12-26 Otb集团有限公司 用于处理基底的系统和方法
JP2009055001A (ja) * 2007-07-10 2009-03-12 Applied Materials Inc 垂直反応器におけるバッチ処理のための方法および装置

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2013173999A1 (en) * 2012-05-24 2013-11-28 Acm Research (Shanghai) Inc. Loadlock chamber and method for treating substrates using the same
CN103594401A (zh) * 2012-08-16 2014-02-19 盛美半导体设备(上海)有限公司 载锁腔及使用该载锁腔处理基板的方法
CN103594401B (zh) * 2012-08-16 2018-05-22 盛美半导体设备(上海)有限公司 载锁腔及使用该载锁腔处理基板的方法
TWI663676B (zh) * 2012-08-16 2019-06-21 大陸商盛美半導體設備(上海)有限公司 載鎖腔及使用該載鎖腔處理基板的方法
CN107275251A (zh) * 2016-04-08 2017-10-20 上海新昇半导体科技有限公司 降低预抽腔体中芯片温度的方法及芯片降温装置
TWI740301B (zh) * 2018-12-11 2021-09-21 日商平田機工股份有限公司 負載鎖定室
CN114127332A (zh) * 2019-09-06 2022-03-01 佳能安内华股份有限公司 负载锁定装置
CN114127332B (zh) * 2019-09-06 2024-04-09 佳能安内华股份有限公司 负载锁定装置
CN113035758A (zh) * 2020-12-31 2021-06-25 中科晶源微电子技术(北京)有限公司 腔室装置、晶片输送设备和晶片处理方法
CN113035758B (zh) * 2020-12-31 2022-06-24 中科晶源微电子技术(北京)有限公司 腔室装置、晶片输送设备和晶片处理方法
WO2022142113A1 (zh) * 2020-12-31 2022-07-07 中科晶源微电子技术(北京)有限公司 腔室装置、晶片输送设备和晶片处理方法

Also Published As

Publication number Publication date
TW201125066A (en) 2011-07-16
JP2011049507A (ja) 2011-03-10
KR20120058592A (ko) 2012-06-07
WO2011024762A1 (ja) 2011-03-03
US20120170999A1 (en) 2012-07-05

Similar Documents

Publication Publication Date Title
CN102414809A (zh) 负载锁定装置和处理系统
TWI676089B (zh) 側儲存倉、電子裝置處理系統、和處理基板的方法
CN101855719B (zh) 负载锁定装置和基板冷却方法
KR100768598B1 (ko) 기판을 가열 및 냉각하는 방법 및 장치
CN102751222B (zh) 装载单元以及处理系统
JP4642619B2 (ja) 基板処理システム及び方法
KR101274897B1 (ko) 유지체 구조, 로드 록 장치, 처리 장치 및 반송 기구
KR20140036978A (ko) 처리 시스템
CN102379035A (zh) 被处理体的冷却方法和被处理体处理装置
US9070728B2 (en) Method of lowering temperature of substrate table, computer-readable storage medium, and substrate processing system
KR20140000265A (ko) 로드록 장치
JP4634918B2 (ja) 真空処理装置
KR20180123522A (ko) 기판 탈가스용 챔버
KR20140035832A (ko) 에칭 장치 및 에칭 방법
TWI578393B (zh) Gas treatment method
KR101898340B1 (ko) 로드록 장치에 있어서의 기판 냉각 방법, 기판 반송 방법, 및 로드록 장치
TW201347067A (zh) 加載互鎖裝置
KR102398333B1 (ko) 기판 탈가스용 챔버
JP2005259858A (ja) 基板処理装置
KR102205384B1 (ko) 기판 처리 장치 및 기판의 냉각 방법
JP6710154B2 (ja) 基板処理装置及び基板処理方法
JP2001210691A (ja) マルチチャンバ型半導体製造装置
CN115985815A (zh) 一种双枚叶式半导体加工装置和半导体加工方法
JP2001284334A (ja) 基板処理方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20120411