KR20140036978A - 처리 시스템 - Google Patents

처리 시스템 Download PDF

Info

Publication number
KR20140036978A
KR20140036978A KR1020130110920A KR20130110920A KR20140036978A KR 20140036978 A KR20140036978 A KR 20140036978A KR 1020130110920 A KR1020130110920 A KR 1020130110920A KR 20130110920 A KR20130110920 A KR 20130110920A KR 20140036978 A KR20140036978 A KR 20140036978A
Authority
KR
South Korea
Prior art keywords
chamber
vacuum
substrate
processing
processing unit
Prior art date
Application number
KR1020130110920A
Other languages
English (en)
Inventor
데츠야 미야시타
가오루 야마모토
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20140036978A publication Critical patent/KR20140036978A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

내부에 기판을 반송하는 반송 장치를 가지는 진공으로 유지된 반송실(5)과, 반송실(5)에 연결되고, 기판에 대하여 소정의 처리를 행하는 처리 유닛(1 ~ 4)을 구비하고, 처리 유닛(1 ~ 4)은, 내부에서 기판에 대하여 소정의 처리가 행해지는 제 1 챔버(51)와, 제 1 챔버(51)내에 탈착 가능하고, 장착시에 내부에서 기판에 대하여 소정의 처리가 행해지는 제 2 챔버(81)를 가지고, 제 1 챔버(51)와 제 2 챔버(81)는, 벽부가 다른 온도로 유지되는 처리 시스템을 제공한다.

Description

처리 시스템{PROCESSING SYSTEM}
본 발명은 반도체 웨이퍼 등의 피처리체에 성막 처리 등을 실시하기 위한 처리 시스템에 관한 것이다.
반도체 디바이스의 제조 공정에 있어서는, 피처리 기판인 반도체 웨이퍼(이하, 단순히 웨이퍼로 기술한다)에 대하여, 성막 처리나 에칭 처리 등의 진공 분위기에서 행해지는 진공 처리가 많이 이용되고 있다. 최근 이러한 진공 처리의 효율화의 관점, 및 산화나 콘타미네이션(contamination) 등의 오염을 억제하는 관점에서, 복수의 처리 유닛을 진공으로 유지되는 반송실에 연결하고, 이 반송실에 마련된 반송 장치에 의해 각 처리 유닛에 웨이퍼를 반송 가능하게 한 클러스터 툴 형(cluster tool type)의 멀티 챔버 타입의 진공 처리 시스템이 주목받고 있다(예를 들면, 특허 문헌 1).
이러한 멀티 챔버 처리 시스템에 있어서는, 비용 삭감(削減), 풋프린트(footprint) 축소, 시일(seal) 삭감 등의 목적으로, 반송실의 챔버와 처리 유닛의 챔버를 일체로 제조하는 경우가 있다.
(특허 문헌 1) 일본 특허 공개 제 2000-208589호
처리 유닛으로는 퇴적(deposition) 억제나, 부생성물 부착 방지를 위하여 챔버벽 자체를 가열하는 핫 월 타입(hot wall type)의 것이 있고, 이러한 경우에는 일체형 챔버 전체를 가열하게 되어 반송실측의 단열 기구의 증가나, 내열(耐熱)성 부품 사용의 증가 등을 가져와서, 기술면이나 비용면에서 문제가 된다. 또한, 반대로 챔버벽 자체를 극저온으로 냉각할 필요가 있는 경우도 있고, 그러한 경우에도 일체형 챔버 전체를 냉각하게 되어 비합리적이다.
또한, 일체형 챔버를 이용하는 경우에 한하지 않고, 콜드 월 타입(cold wall type) 및 핫 월 타입 등, 벽부의 온도가 다른 챔버를 구별하여 사용하고자 하는 경우가 있지만, 종래의 멀티 챔버 처리 시스템에서는 이러한 경우에 충분히 대응할 수 없다.
본 발명은 이에 관련된 사정에 비추어 보아서 이루어진 것으로, 벽부의 온도가 다른 챔버를 구별하여 사용할 수 있는 처리 시스템을 제공한다. 또한, 처리 유닛의 챔버로부터 반송실 등에 대한 열영향을 억제할 수 있는 처리 시스템을 제공한다.
본 발명의 제 1 관점에서는, 내부에 기판을 반송하는 반송 장치를 가지는 진공으로 유지된 반송실과, 상기 반송실에 연결되어, 기판에 대하여 소정의 처리를 행하는 1 또는 복수의 처리 유닛을 구비하고, 상기 처리 유닛은, 내부에서 기판에 대하여 소정의 처리가 행해지는 제 1 챔버와, 상기 제 1 챔버 내에 탈착 가능하고, 장착시에 내부에서 기판에 대하여 소정의 처리가 행해지는 제 2 챔버를 가지고, 상기 제 1 챔버와 상기 제 2 챔버는, 벽부가 다른 온도로 유지되는 것을 특징으로 하는 처리 시스템을 제공한다.
상기 제 1 관점에 있어서, 상기 제 1 챔버와 상기 반송실의 챔버는 일체로 마련되어 있고, 상기 제 1 챔버는, 벽부가 상기 반송실에 열영향을 끼치는 가열 또는 냉각이 이루어지지 않는 상태로 되고, 상기 제 2 챔버는, 벽부가 가열 또는 냉각된 상태로 되는 구성으로 할 수 있다. 이런 경우에, 상기 제 2 챔버가 장착되었을 때에, 상기 제 1 챔버와 단열 상태로 되어 있는 것이 바람직하다. 또한, 상기 제 2 챔버의 장착시에, 상기 제 1 챔버와 상기 제 2 챔버는, 공간을 두고 배치되고, 공간을 진공 상태로 함에 의해 진공 단열되는 구성으로 할 수 있다. 또한, 상기 소정의 처리는 진공 상태에서 행해지는 처리로 할 수 있다.
본 발명의 제 2 관점에서는, 내부에 기판을 반송하는 반송 장치를 가지는 진공으로 유지된 반송실과, 상기 반송실에 연결되고, 기판에 대하여 소정의 처리를 행하는 1 또는 복수의 처리 유닛을 구비하고, 상기 처리 유닛은, 벽부가 상기 반송실에 열영향을 부여하는 가열 또는 냉각이 이루어지지 않는 상태에서, 내부에서 기판에 대하여 소정의 처리가 행해지는 제 1 챔버와, 상기 제 1 챔버 내에 탈착 가능하고, 장착시에 내부에서 그 벽부가 가열 또는 냉각된 상태에서 기판에 대하여 소정의 처리가 행해지는 제 2 챔버를 가지고, 상기 제 1 챔버는, 상기 반송실의 챔버와 일체로 마련되고, 상기 제 2 챔버는, 상기 제 1 챔버에 장착되었을 때에, 상기 제 1 챔버에 대하여 단열 상태인 것을 특징으로 하는 처리 시스템을 제공한다.
상기 제 2 관점에 있어서, 상기 소정의 처리는 진공 상태에서 행해지는 처리이며, 상기 제 2 챔버의 장착시에, 상기 제 1 챔버와 상기 제 2 챔버는, 공간을 두고 배치되고, 처리를 행할 때의 진공 배기에 의해, 상기 공간을 진공 상태로 하는 것에 의해, 진공 단열되는 구성으로 할 수 있다.
본 발명에 의하면, 처리 유닛은, 내부에서 기판에 대하여 소정의 처리가 행해지는 제 1 챔버와, 제 1 챔버 내에 탈착 가능하고, 장착시에 내부에서 기판에 대하여 소정의 처리가 행해지는 제 2 챔버를 가지고, 제 1 챔버와 제 2 챔버는, 벽부가 다른 온도로 유지되므로, 벽부의 온도가 다른 챔버를 구별하여 사용할 수 있다. 예를 들면, 콜드 월 타입의 처리 유닛에서 처리하고자 하는 경우에는, 제 1 챔버 내에서 처리를 행하고, 핫 월 타입의 처리 유닛에서 처리하고자 하는 경우에는, 벽부에 가열 기구를 마련한 제 2 챔버를 장착해서 내부에서 처리를 행할 수 있고, 콜드 월 타입 및 핫 월 타입을 구별하여 사용할 수 있다.
또한, 제 2 챔버를 일체형 챔버를 구성하는 제 1 챔버에 대하여 탈착 가능으로 하고, 제 2 챔버의 벽부가 가열 또는 냉각된 상태에서 기판에 대하여 처리를 행할 경우에, 제 1 챔버와는 단열한 상태에서 제 2 챔버를 장착하고, 내부에서 처리를 행하므로, 제 2 챔버의 열 또는 냉열이 처리 유닛의 챔버에 전열하는 것이 억제되고, 일체형 챔버의 전체가 가열 또는 냉각되는 일이 없다. 이 때문에, 반송실측이 가열되는 것을 억제하는, 반송실측의 단열 기구의 증가나, 내열 사용 부품의 증가 등을 가져오는 일이 없고, 또한 반송실측이 냉각되는 것에 의한 문제도 발생하지 않는다.
도 1은 본 발명의 일 실시형태에 관한 멀티 챔버 진공 처리 시스템의 개략 구조를 나타내는 수평 단면도이다.
도 2는 도 1의 멀티 챔버 진공 처리 시스템에 있어서, 반송실의 챔버와 처리 유닛의 챔버를 일체로 마련한 일체형 챔버를 나타내는 평면도이다.
도 3은 도 1의 멀티 챔버 진공 처리 시스템에 있어서, 반송실의 챔버와 처리실의 챔버를 일체로 마련한 일체형 챔버를 나타내는 단면도이다.
도 4는 처리 유닛의 챔버 내에서 처리를 행할 경우의 처리 유닛의 상태를 나타내는 단면도이다.
도 5는 처리 유닛의 챔버 내에 가열 챔버를 장착한 상태를 나타내는 단면도이다.
도 6은 가열 챔버 내에서 처리를 행할 경우의 처리 유닛의 상태를 나타내는 단면도이다.
이하, 첨부 도면을 참조하여 본 발명의 실시 형태에 대해서 구체적으로 설명한다.
도 1은, 본 발명의 일 실시형태에 관한 멀티 챔버 진공 처리 시스템의 개략 구조를 도시하는 수평 단면도이다.
이 멀티 챔버 진공 처리 시스템은, 평면 형상이 6각형을 이루고, 6개의 측벽을 가지는 본체(5a)를 구비한 반송실(5)을 구비하고 있고, 그 본체(5a)의 4개의 측벽에는, 게이트 밸브(G)를 거쳐서 4개의 처리 유닛(1, 2, 3, 4)이 접속되어 있다. 그리고, 본체(5a) 위에 덮개체(도시하지 않음)를 탑재한 상태로 반송실(5)이 구성된다. 반송실(5)의 본체(5a)의 다른 2개의 측벽에는 각각 게이트 밸브(G1)를 거쳐서 로드록실(6, 7)이 마련되어 있다.
이들 로드록실(6, 7)의 반송실(5)측의 반대측에는 반출입실(8)이 마련되어 있고, 반출입실(8)의 로드록실(6, 7)의 반대측에는 피처리 기판으로서의 웨이퍼(W)를 수용 가능한 3개의 후프(FOUP; Front Opening Unified Pod)를 장착하는 포트(9, 10, 11)가 마련되어 있다. 로드록실(6, 7)과 반출입실(8)과의 사이에는, 각각 게이트 밸브(G2)가 마련되어 있다.
처리 유닛(1, 2, 3, 4)은, 피처리 기판인 웨이퍼(W)에 대하여, 소정의 진공 처리, 예를 들면, 성막 처리나 에칭을 행하도록 되어 있다.
처리 유닛(1 ~ 4)은, 대응하는 게이트 밸브(G)를 개방하는 것에 의해 반송실(5)과 연통되고, 대응하는 게이트 밸브(G)를 닫는 것에 의해 반송실(5)로부터 차단된다. 또한, 로드록실(6, 7)은, 게이트 밸브(G1)를 개방하는 것에 의해 반송실(5)에 연통되고, 게이트 밸브(G1)를 닫는 것에 의해 반송실(5)로부터 차단된다. 또한, 로드록실(6, 7)은, 게이트 밸브(G2)를 개방하는 것에 의해 반출입실(8)에 연통되고, 게이트 밸브(G2)를 닫는 것에 의해 반출입실(8)로부터 차단된다.
반송실(5)의 본체(5a) 내에는, 처리 유닛(1 ~ 4), 로드록실(6, 7)에 대하여, 웨이퍼(W)의 반출입을 행하는 반송 장치(12)가 마련되어 있다. 이 반송 장치(12)는, 반송실(5)의 대략 중앙에 배치되어 있고, 베이스(12a)와, 회전 및 신축 가능한 회전·신축부(13)와, 그 선단에 마련된 웨이퍼(W)를 지지하는 2개의 지지 아암(14a, 14b)을 가지고 있고, 이들 2개의 지지 아암(14a, 14b)은 서로 반대 방향을 향하도록 회전·신축부(13)에 장착되어 있다. 이 반송실(5)내는 소정의 진공도로 유지되도록 되어 있다.
로드록실(6, 7)은, 냉각 기능을 가지는 스테이지(41) 및 그 외 필요한 기능 부재(도시하지 않음)를 가지고 있고, 그 안을 대기 분위기와 진공 분위기와의 사이에서 단시간으로 전환 가능한 소용량의 공간으로 되어 있다.
반출입실(8)의 후프 장착용의 3개의 포트(9, 10, 11)에는 각각 도시하지 않은 셔터가 마련되어 있고, 이들 포트(9, 10, 11)에 웨이퍼(W)를 수용한, 또한 속이 빈 후프(FOUP)(F)가 스테이지(S)에 탑재된 상태로 직접 장착되고, 장착되었을 때에 셔터가 분리되어 외기의 침입을 방지하면서 반출입실(8)과 연통하도록 되어 있다. 또한, 반출입실(8)의 측면에는 얼라인먼트 챔버(15)가 마련되어 있고, 거기서 웨이퍼(W)의 얼라인먼트가 행해진다.
반출입실(8) 내에는, 후프(F)에 대한 웨이퍼(W)의 반출입 및 로드록실(6, 7)에 대한 웨이퍼(W)의 반출입을 행하는 반송 장치(16)가 마련되어 있다. 이 반송 장치(16)는, 다관절 아암 구조를 가지고 있어, 후프(F)의 배열 방향을 따라서 레일(18) 상을 주행 가능하도록 되어 있어서, 그 선단의 지지 아암(17) 상에 웨이퍼(W)를 탑재하여 그 반송을 행한다.
이 멀티 챔버 진공 처리 시스템은, 각 구성부를 제어하는 마이크로 프로세서(컴퓨터)로 이루어지는 제어부(30)를 가지고 있고, 각 구성부가 각 제어부(30)에 접속되어서 제어되는 구성으로 되어 있다.
도 2, 3에 도시하는 바와 같이, 처리 유닛(1 ~ 4)(도 3에서는 하나만 도시)은, 제 1 챔버(51)를 가지고, 이 제 1 챔버(51)는, 반송실(5)의 본체(5a)와 일체로 마련되어서 일체형 챔버(61)를 구성하고 있다.
반송실(5)의 본체(5a)의 바닥부에는, 반송 장치(12)가 삽입되는 원형의 구멍(22)이 형성되어 있고, 처리 유닛(1 ~ 4)의 제 1 챔버(51)의 바닥부에는, 배기 기구가 장착되는 원형의 구멍(52)이 형성되어 있다. 또한, 본체(5a) 및 제 1 챔버(51)의 측벽에 있어서의, 그들의 접속 부분의 서로 대응하는 위치에, 각각 반입출구(23) 및 반입출구(56)가 형성되어 있고, 반입출구(23)과 반입출구(56)와의 사이에는, 게이트 밸브가 승강하기 위한 공간(53)이 형성되어 있다. 또한, 제 1 챔버(51)의 바닥부에는, 후술하는 제 2 챔버(81)가 장착되었을 때에 게이트 밸브의 승강에 이용하는 구멍(54)이 형성되어 있다. 또한, 제 1 챔버(51) 및 본체(5a)의 상부 개구는 각각 덮개체(55) 및 덮개체(24)로 막아지도록 되어 있다.
처리 유닛(1 ~ 4)의 제 1 챔버(51) 내에는, 후술하는 제 2 챔버(81)가 탈착 가능하게 되어 있다. 제 1 챔버(51)는 콜드 월 타입의 처리 유닛의 챔버로서 이용할 수 있고, 챔버벽을 가열할 필요가 없을 때에는, 제 2 챔버(81)를 장착하지 않고, 도 4에 도시하는 바와 같이, 제 1 챔버(51)내에 탑재대(72), 샤워헤드(75) 등을 장착하고, 또한 배기 기구를 장착해서 챔버(51)내에서 소정의 처리, 예를 들면, 성막 처리를 행하도록 한다. 또한, 제 1 챔버(51)의 벽부는, 반송실(5)에 대한 열영향을 부여하는 가열 및 냉각은 이루어지지 않도록 되어 있다.
구체적으로는, 도시하는 바와 같이, 제 1 챔버(51) 아래의 구멍(52)의 주위에 배기실(71)을 장착하고, 배기실(71)에 접속된 배관(74)에 밸브나 진공 펌프를 접속해서 배기 기구를 구성하고, 배기실(71)의 바닥부로부터 제 1 챔버(51)내를 향하여 수직으로 연장하는 지지 부재(73)에 지지되도록 히터를 구비한 탑재대(72)를 마련하고, 덮개체(55)의 이면측에 처리 가스를 제 1 챔버(51)내에 도입하기 위한 샤워헤드(75)를 마련한다. 그리고, 덮개체(55) 상면에 마련된 가스 도입구(도시하지 않음)로부터 샤워헤드(75)를 거쳐서 제 1 챔버(51)내에 처리 가스가 도입되도록 한다. 반입출구(56)는, 공간(53)내를 승강하는 게이트 밸브(G)에 의해 개폐하도록 하고, 게이트 밸브(G)의 승강 로드(76)와 공간(53)을 형성하는 저벽(도시하지 않음)은 진공 밀봉되고, 또한 구멍(54)도 진공 밀봉된다.
이에 따라, 예를 들면, 제 1 챔버(51) 내에서 탑재대(72) 상의 웨이퍼(W)를 가열하면서, 샤워헤드(75)로부터 소정의 처리 가스를 제 1 챔버(51)내에 도입하고, 웨이퍼(W)에 대하여 CVD 성막 처리를 행할 수 있다.
제 1 챔버(51)는, 그 내부에, 벽부를 가열하는 기구를 가지는 제 2 챔버(81)를 장착할 수 있는 구조로 되어 있고, 제 2 챔버(81)를 장착한 상태에서 핫 월 타입의 처리 유닛이 구성된다. 따라서, 챔버벽을 가열하면서 처리할 필요가 있는 경우에는, 도 5에 도시하는 바와 같이, 제 1 챔버(51)내에 제 2 챔버(81)를 장착한다. 제 2 챔버(81)의 벽부에는, 벽부를 가열하는 기구인 히터(91)가 매설되어 있다. 제 2 챔버(81)는, 제 1 챔버(51)에 대하여 단열 상태로 장착할 수 있도록, 형상, 크기가 정해져 있다.
제 2 챔버(81)는, 상부에 플랜지(81a)가 형성되어 있고, 플랜지(81a)가 울템(등록상표)과 같은 단열 부재(86)를 개재하여 제 1 챔버(51)의 상단에 계합되도록 되어 있고, 이에 따라 제 2 챔버(81)가 제 1 챔버(51) 내에서 위치 결정된다. 플랜지(81a)와 단열 부재(86)와의 사이, 및 단열 부재(86)와 제 1 챔버(51)의 상단과의 사이에는 밀봉 부재(88)가 마련되어 있고, 진공 밀봉되도록 되어 있다.
제 2 챔버(81)를 장착했을 때에, 제 1 챔버(51)와 제 2 챔버(81)와의 사이에는 공간(85)이 형성되도록 되어 있다. 이에 따라, 진공 배기했을 때에, 이들의 사이가 진공이 되어서 이들의 사이가 진공 단열된다. 또한, 제 1 챔버(51)의 바닥부와 제 2 챔버(81)의 바닥부와의 사이에도, 단열 부재(86)가 밀봉 부재(88)를 거쳐서 마련되어 있다.
제 2 챔버(81)의 바닥부의, 구멍(52)에 대응하는 위치에는, 구멍(82)이 형성되어 있다. 또한, 제 2 챔버(81)의 측벽의 반입출구(56)에 대응하는 부분에는 반입출구(83)가 마련되어 있다. 덮개체(55)는, 제 2 챔버(81)의 상부 개구를 막도록 장착되고, 제 2 챔버(81)내에 처리 공간이 형성되어, 핫 월 타입의 처리 유닛의 챔버로서 이용할 수 있다.
그리고, 도 6에 도시하는 바와 같이, 제 2 챔버(81)내에 탑재대(72), 샤워헤드(75) 등을 장착하고, 덮개체(55) 상면에 마련된 가스 도입구(도시하지 않음)로부터 샤워헤드(75)를 거쳐서 제 2 챔버(81)내에 처리 가스가 도입되도록 한다. 또한, 배기 기구를 장착해서 제 2 챔버(81)내로 챔버벽을 가열하면서 소정의 처리, 예를 들면, 성막 처리를 행하도록 한다.
구체적으로는, 도 6에 도시하는 바와 같이, 제 1 챔버(51) 아래의 구멍(52)의 주위에, 배기실(71)을 장착하고, 배기실(71)에 접속된 배관(74)에 밸브나 진공 펌프를 접속해서 배기 기구를 구성하고, 배기실(71)의 바닥부로부터 구멍(82)을 거쳐서 제 2 챔버(81)내에 수직으로 연장하는 지지 부재(73)에 지지되도록 히터를 구비한 탑재대(72)를 마련하고, 덮개체(55)의 이면측에 처리 가스를 제 2 챔버(81)내에 도입하기 위한 샤워헤드(75)를 마련한다. 그리고, 덮개체(55) 상면에 마련된 가스 도입구(도시하지 않음)로부터 샤워헤드(75)를 거쳐서 제 2 챔버(81)내에 처리 가스가 도입되도록 한다. 반입출구(83)는, 게이트 밸브(G)에 의해 개폐 가능하게 되어 있다. 이 때의 게이트 밸브(G)의 승강 로드(87)는, 제 1 챔버(51)의 바닥부에 마련된 구멍(54)을 거쳐서 승강된다. 승강 로드(87)와 제 1 챔버(51)의 저벽과의 사이는 진공 밀봉되고, 또한 공간(53)을 형성하는 저벽(도시하지 않음)도 진공 밀봉된다.
이에 따라, 예를 들면, 히터(91)에 의해 제 2 챔버(81)의 벽부를 가열하고, 내부에서 탑재대(72) 상의 웨이퍼(W)를 가열하면서, 샤워헤드(75)로부터 소정의 처리 가스를 제 2 챔버(81)내에 도입하고, 웨이퍼(W)에 대하여 CVD 성막 처리를 행할 수 있다.
다음에, 이상과 같이 구성되는 멀티 챔버 진공 처리 시스템의 동작에 대해서 설명한다.
우선, 반송 장치(16)에 의해 반출입실(8)에 접속된 후프(F)에서 웨이퍼(W)를 취출하고, 로드록실(6)(또는 로드록실(7))에 반입한다. 이 때, 로드록실(6)(또는 로드록실(7))내를 대기 분위기로 한 후, 게이트 밸브(G2)를 개방하여 웨이퍼(W)를 그 안에 반입하고, 스테이지(41) 상에 탑재한다.
그리고, 그 로드록실 내를 반송실(5)에 대응하는 압력이 될 때까지 진공 배기하고, 게이트 밸브(G1)를 개방해서 반송 장치(12)의 지지 아암(14a) 또는 지지 아암(14b)에 의해 웨이퍼(W)를 수취하고, 어느 하나의 처리 유닛(1~4)의 게이트 밸브(G)를 열어서 그 안에 웨이퍼(W)를 반입하고, 웨이퍼(W)에 대하여 소정의 진공 처리를 행한다.
진공 처리가 종료한 시점에서, 게이트 밸브(G)를 개방하고, 반송 장치(12)의 지지 아암(14a) 또는 지지 아암(14b)이 대응하는 처리 유닛으로부터 웨이퍼(W)를 반출하고, 로드록실(6) 또는 로드록실(7)의 게이트 밸브(G1)를 개방하고, 그 로드록실 내에 웨이퍼(W)를 반입하고, 냉각 기능을 가지는 스테이지(41) 상에 탑재해서 웨이퍼(W)를 냉각한다.
냉각 종료 후, 반출할 때에는, 그 로드록실에 퍼지 가스를 흘려서 그 안을 대기압으로 하고, 게이트 밸브(G2)를 열어서 웨이퍼(W)를 반송 장치(16)의 지지 아암(17)에 의해 대기 분위기의 반출입실(8)에 취출하고, 후프(F)에 수납한다.
본 실시형태에서는, 비용 삭감, 풋프린트 축소, 시일 삭감 등의 목적으로, 반송실(5)의 본체(5a)와 처리 유닛(1 ~ 4)의 제 1 챔버(51)를 일체로 마련해서 일체형 챔버(61)를 구성하고 있다.
이러한 구성에 있어서, 처리 유닛(1 ~ 4)을, 챔버벽 자체를 가열하는 핫 월 타입의 것으로 하여 제 1 챔버(51)의 벽부를 가열하고자 하면, 일체형 챔버(61)의 전체를 가열해야 하고, 반송실(5)측의 단열 기구의 증가나, 내열 사용 부품의 증가 등을 유발시킨다. 또한, 종래에는, 처리 유닛(1 ~ 4)은 콜드 월 타입 및 핫 월 타입 중 어느 하나의 타입으로 한정되고, 이들을 구별하여 사용할 수는 없다.
이에 대하여, 본 실시형태에서는, 일체형 챔버(61)를 구성하는 제 1 챔버(51)의 벽부에는 히터가 마련되고 있지 않고, 콜드 월 타입의 처리 유닛으로서 성막 처리 등의 소정의 처리를 행할 수 있도록 되어 있고, 핫 월 타입의 처리 유닛으로서 사용하고자 하는 경우에는, 제 1 챔버(51)내에 제 2 챔버(81)를 단열 상태로 장착한다.
즉, 콜드 월 타입의 처리 유닛에서 처리를 행하고자 하는 경우에는, 제 1 챔버(51)내에 탑재대(72), 샤워헤드(75) 등을 배치하고, 배기 기구를 더 장착한다. 그리고, 탑재대(72) 상에 웨이퍼(W)를 탑재하고, 배기 기구에 의해 진공 배기를 행하는 것에 의해 챔버(51)내를 소정의 진공 분위기로 하여, 탑재대(72) 내의 히터(도시하지 않음)에 의해 웨이퍼(W)를 가열하면서 웨이퍼(W)에 대하여 성막 등의 소정의 처리를 행한다.
한편, 핫 월 타입의 처리 유닛에서의 처리를 행하고자 하는 경우에는, 벽부에 히터(91)를 내장한 제 2 챔버(81)를 제 1 챔버(51) 내에 장착하고, 제 2 챔버(81)내에 탑재대(72), 샤워헤드(75) 등을 배치하고, 배기 기구를 더 장착한다. 그리고, 탑재대(72) 상에 웨이퍼(W)를 탑재하고, 배기 기구에 의해 진공 배기를 행하는 것에 의해 제 2 챔버(81)내를 소정의 진공 분위기로 함과 함께, 제 1 챔버(51)와 제 2 챔버(81)와의 사이의 공간(85)도 진공으로 해서 이들의 사이를 진공 단열 상태로 해서 챔버벽을 가열하고, 또한 탑재대(72)내의 히터(도시하지 않음)에 의해 웨이퍼(W)를 가열하면서 웨이퍼(W)에 대하여 성막 등의 소정의 처리를 행한다.
이렇게, 제 2 챔버(81)를 일체형 챔버(61)의 구성 요소인 제 1 챔버(51)에 대하여 탈착 가능하게 하고, 핫 월 타입의 처리 유닛으로 하여 처리를 행할 경우에, 제 1 챔버(51)와는 단열한 상태에서 제 2 챔버(81)를 장착하고, 내부에서 처리를 행하므로, 제 2 챔버(81)의 열이 제 1 챔버(51)에 전열하는 것이 억제되어, 일체형 챔버(61)의 전체가 가열되는 일이 없다. 이 때문에, 반송실(5)측의 단열 기구의 증가나, 내열 사용 부품의 증가 등을 유발시키지 않는다.
또한, 콜드 월 타입의 처리 유닛에서 처리하고자 하는 경우에는, 제 2 챔버(81)를 장착하는 일 없이 제 1 챔버(51)내에서 처리를 행하고, 핫 월 타입의 처리 유닛에서 처리하고자 하는 경우에는, 제 2 챔버(81)를 장착해서 내부에서 처리를 행할 수 있으므로, 콜드 월 타입 및 핫 월 타입을 구별하여 사용할 수 있다.
또한, 본 발명은 상기 실시 형태에 한정되는 일 없이, 여러가지 변형이 가능하다. 예를 들면, 상기 실시 형태에서는, 제 2 챔버(81)를 벽부를 가열하는 핫 월 챔버로서 구성했지만, 이에 한하지 않고, 벽부를 냉각하고, 예를 들면, 벽부에서의 가스 방출량을 저감하는 경우 등에 적용 가능하다. 이러한 냉각이 필요할 경우와, 냉각이 불필요할 경우에서 챔버 벽부의 온도가 다르지만, 이렇게 구별하여 사용하는 경우에도 본 발명은 유효하다. 또한, 이와 같이 냉각할 경우는, 예를 들면 -30℃도 정도의 낮은 온도가 되면, 일체형 챔버에서는 반송실에 대하여 악영향을 미치지만, 본 발명과 같이 제 2 챔버를 냉각하도록 하면, 그러한 문제가 발생하지 않는다.
또한, 상기 실시 형태에서는, 처리 유닛을 4개 마련한 멀티 챔버 진공 처리 시스템을 예로 들어서 설명했지만, 이들의 수에 한정되는 것은 아니다. 또한, 본 발명의 처리 시스템은 진공 처리 시스템에 한하는 것도 아니다.
또한, 상기 실시 형태에서는, 반송실의 본체(5a)와 처리 유닛의 제 1 챔버(51)를 일체형 챔버(61)로서 구성한 예에 대해서 나타냈지만, 콜드 월 타입 및 핫 월 타입을 구별하여 쓴다는 관점에서는, 이러한 일체형 챔버로 하는 경우에 한정되지 않는다는 것은 말할 필요도 없다.
또한, 상기 실시 형태에서는, 제 1 챔버(51)나 제 2 챔버(81)내에 탑재대(72), 샤워헤드(75)를 마련하고, 배기실(71)을 더 장착한 처리 유닛을 예시했지만, 이는 단순한 예시에 지나지 않고, 이에 한정되지 않고 여러가지 장치에 적용 가능하고, 예를 들면, 플라즈마 생성 기구를 구비하는 것이라도 좋다.
또한, 제 1 챔버(51)와 제 2 챔버(81)와의 단열을 진공 단열로 한 예에 대해서 나타냈지만, 이들의 사이에 단열재를 개재시켜서 단열해도 좋다. 또한, 반송실의 본체(5a)와 처리 유닛의 제 1 챔버(51)와를 일체로 마련하지 않는 경우에는, 반드시 단열은 필요하지 않다.
또한, 피처리 기판에 대해서도, 반도체 웨이퍼에 한하지 않고, FPD용 유리 기판 등의 다른 것을 대상으로 할 수 있다.
(도면의 주요 부분에 대한 부호의 설명)
1 ~ 4: 처리 유닛
5: 반송실
5a: 본체
6, 7: 로드록실
8: 반출입실
12, 16: 반송 장치
51: 제 1 챔버
61: 일체형 챔버
81: 제 2 챔버
85: 공간
86: 단열 부재
91: 히터
G, G1, G2: 게이트 밸브
W: 웨이퍼

Claims (7)

  1. 내부에 기판을 반송하는 반송 장치를 가지는 진공으로 유지된 반송실과, 상기 반송실에 연결되고, 기판에 대하여 소정의 처리를 행하는 1 또는 복수의 처리 유닛을 구비하고,
    상기 처리 유닛은,
    내부에서 기판에 대하여 제 1 처리가 행해지는 제 1 챔버와, 상기 제 1 챔버 내에 탈착 가능하고, 장착시에 내부에서 기판에 대하여 제 2 처리가 행해지는 제 2 챔버를 가지고,
    상기 제 1 챔버의 벽부와 상기 제 2 챔버의 벽부가 서로 다른 온도로 유지되는 것을 특징으로 하는 처리 시스템.
  2. 제 1 항에 있어서,
    상기 제 1 챔버와 상기 반송실의 챔버는 일체로 마련되어 있고, 상기 제 1 챔버는, 상기 벽부가 상기 반송실에 열영향을 부여하는 가열 또는 냉각이 이루어지지 않는 상태로 하고, 상기 제 2 챔버는, 상기 벽부가 가열 또는 냉각된 상태로 하는 것을 특징으로 하는 처리 시스템.

  3. 제 2 항에 있어서,
    상기 제 2 챔버가 장착되었을 때에, 상기 제 1 챔버와 단열 상태로 되어 있는 것을 특징으로 하는 처리 시스템.
  4. 제 3 항에 있어서,
    상기 제 2 챔버의 장착시에, 상기 제 1 챔버와 상기 제 2 챔버와는, 공간을 두고 배치되고, 상기 공간을 진공 상태로 함에 의해 진공 단열되는 것을 특징으로 하는 처리 시스템.
  5. 제 1 항 내지 제 4 항 중 어느 한 항에 있어서,
    상기 제 1 처리는 진공 상태에서 행해지는 처리인 것을 특징으로 하는 처리 시스템.
  6. 내부에 기판을 반송하는 반송 장치를 가지는 진공으로 유지된 반송실과, 상기 반송실에 연결되고, 기판에 대하여 소정의 처리를 행하는 1 또는 복수의 처리 유닛을 구비하고,
    상기 처리 유닛은,
    벽부가 상기 반송실에 열영향을 부여하는 가열 또는 냉각이 이루어지지 않는 상태에서, 내부에서 기판에 대하여 제 1 처리가 행해지는 제 1 챔버와,
    상기 제 1 챔버 내에 탈착 가능하고, 장착시에 내부에서 그 벽부가 가열 또는 냉각된 상태에서 기판에 대하여 제 2 처리가 행해지는 제 2 챔버를 가지고,
    상기 제 1 챔버는, 상기 반송실의 챔버와 일체로 마련되고,
    상기 제 2 챔버는, 상기 제 1 챔버에 장착되었을 때에, 상기 제 1 챔버에 대하여 단열 상태인 것을 특징으로 하는 처리 시스템.
  7. 제 6 항에 있어서,
    상기 소정의 처리는 진공 상태에서 행해지는 처리이며, 상기 제 2 챔버의 장착시에, 상기 제 1 챔버와 상기 제 2 챔버는, 공간을 두고 배치되고, 처리를 행할 때의 진공 배기에 의해, 상기 공간을 진공 상태로 함에 의해 진공 단열되는 것을 특징으로 하는 처리 시스템.
KR1020130110920A 2012-09-18 2013-09-16 처리 시스템 KR20140036978A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JPJP-P-2012-204268 2012-09-18
JP2012204268A JP2014060256A (ja) 2012-09-18 2012-09-18 処理システム

Publications (1)

Publication Number Publication Date
KR20140036978A true KR20140036978A (ko) 2014-03-26

Family

ID=50273231

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020130110920A KR20140036978A (ko) 2012-09-18 2013-09-16 처리 시스템

Country Status (3)

Country Link
US (1) US20140076494A1 (ko)
JP (1) JP2014060256A (ko)
KR (1) KR20140036978A (ko)

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10224224B2 (en) 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
JP7190450B2 (ja) 2017-06-02 2022-12-15 アプライド マテリアルズ インコーポレイテッド 炭化ホウ素ハードマスクのドライストリッピング
US10269571B2 (en) 2017-07-12 2019-04-23 Applied Materials, Inc. Methods for fabricating nanowire for semiconductor applications
US10234630B2 (en) 2017-07-12 2019-03-19 Applied Materials, Inc. Method for creating a high refractive index wave guide
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
JP6947914B2 (ja) 2017-08-18 2021-10-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧高温下のアニールチャンバ
US11177128B2 (en) 2017-09-12 2021-11-16 Applied Materials, Inc. Apparatus and methods for manufacturing semiconductor structures using protective barrier layer
US10643867B2 (en) 2017-11-03 2020-05-05 Applied Materials, Inc. Annealing system and method
KR102585074B1 (ko) 2017-11-11 2023-10-04 마이크로머티어리얼즈 엘엘씨 고압 프로세싱 챔버를 위한 가스 전달 시스템
SG11202003438QA (en) 2017-11-16 2020-05-28 Applied Materials Inc High pressure steam anneal processing apparatus
CN111432920A (zh) 2017-11-17 2020-07-17 应用材料公司 用于高压处理系统的冷凝器系统
CN111699549A (zh) 2018-01-24 2020-09-22 应用材料公司 使用高压退火的接缝弥合
KR102536820B1 (ko) 2018-03-09 2023-05-24 어플라이드 머티어리얼스, 인코포레이티드 금속 함유 재료들을 위한 고압 어닐링 프로세스
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10566188B2 (en) 2018-05-17 2020-02-18 Applied Materials, Inc. Method to improve film stability
US10704141B2 (en) 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
JP7179172B6 (ja) 2018-10-30 2022-12-16 アプライド マテリアルズ インコーポレイテッド 半導体用途の構造体をエッチングするための方法
CN112996950B (zh) 2018-11-16 2024-04-05 应用材料公司 使用增强扩散工艺的膜沉积
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film

Also Published As

Publication number Publication date
US20140076494A1 (en) 2014-03-20
JP2014060256A (ja) 2014-04-03

Similar Documents

Publication Publication Date Title
KR20140036978A (ko) 처리 시스템
US20060245852A1 (en) Load lock apparatus, load lock section, substrate processing system and substrate processing method
US9589819B1 (en) Substrate processing apparatus
TWI407494B (zh) 半導體處理裝置
JP2013033965A (ja) 基板処理装置、基板処理設備、及び基板処理方法
JP2008539564A (ja) 異なる環境での処理を可能とする基板処理プラットフォーム
US9779971B2 (en) Methods and apparatus for rapidly cooling a substrate
JPH08321470A (ja) 処理装置
TWM581766U (zh) MOCVD reactor
US9696097B2 (en) Multi-substrate thermal management apparatus
US7652227B2 (en) Heating and cooling plate for a vacuum chamber
JP2019520701A (ja) 12面形の移送チャンバ、及び、かかる移送チャンバを有する処理システム
TWI700764B (zh) 裝載鎖定裝置中的基板冷卻方法、基板搬運方法及裝載鎖定裝置
JP3604241B2 (ja) 縦型熱処理装置
TW201347067A (zh) 加載互鎖裝置
JP2005259858A (ja) 基板処理装置
JP2005277049A (ja) 熱処理システム及び熱処理方法
KR101684929B1 (ko) 발열체 및 히터 어셈블리 그리고 그것을 갖는 클러스터 설비
JP2008235800A (ja) ロードロック装置および基板の処理システム
JPH11260881A (ja) 処理装置
JP2001210691A (ja) マルチチャンバ型半導体製造装置
TWI822251B (zh) 半導體腔室及半導體制程設備
KR20110016642A (ko) 기판처리장치
JP2012069845A (ja) 基板処理装置および半導体装置の製造方法
JPH11214377A (ja) 縦型減圧気相成長装置とこれを用いた気相成長方法

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid