CN102362266B - 具有叠置式电压域的电压转换和集成电路 - Google Patents

具有叠置式电压域的电压转换和集成电路 Download PDF

Info

Publication number
CN102362266B
CN102362266B CN201080012929.6A CN201080012929A CN102362266B CN 102362266 B CN102362266 B CN 102362266B CN 201080012929 A CN201080012929 A CN 201080012929A CN 102362266 B CN102362266 B CN 102362266B
Authority
CN
China
Prior art keywords
voltage
voltage domain
domain
data
stacked
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201080012929.6A
Other languages
English (en)
Other versions
CN102362266A (zh
Inventor
R·H·登纳德
B·L·季
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of CN102362266A publication Critical patent/CN102362266A/zh
Application granted granted Critical
Publication of CN102362266B publication Critical patent/CN102362266B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K3/00Circuits for generating electric pulses; Monostable, bistable or multistable circuits
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K19/00Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits
    • H03K19/0175Coupling arrangements; Interface arrangements
    • H03K19/018Coupling arrangements; Interface arrangements using bipolar transistors only
    • H03K19/01806Interface arrangements
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K19/00Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits
    • H03K19/0175Coupling arrangements; Interface arrangements
    • H03K19/0185Coupling arrangements; Interface arrangements using field effect transistors only
    • H03K19/018507Interface arrangements
    • H03K19/018521Interface arrangements of complementary type, e.g. CMOS
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K3/00Circuits for generating electric pulses; Monostable, bistable or multistable circuits
    • H03K3/02Generators characterised by the type of circuit or by the means used for producing pulses
    • H03K3/353Generators characterised by the type of circuit or by the means used for producing pulses by the use, as active elements, of field-effect transistors with internal or external positive feedback
    • H03K3/356Bistable circuits
    • H03K3/356104Bistable circuits using complementary field-effect transistors
    • H03K3/356113Bistable circuits using complementary field-effect transistors using additional transistors in the input circuit
    • H03K3/35613Bistable circuits using complementary field-effect transistors using additional transistors in the input circuit the input circuit having a differential configuration
    • H03K3/356139Bistable circuits using complementary field-effect transistors using additional transistors in the input circuit the input circuit having a differential configuration with synchronous operation
    • HELECTRICITY
    • H02GENERATION; CONVERSION OR DISTRIBUTION OF ELECTRIC POWER
    • H02MAPPARATUS FOR CONVERSION BETWEEN AC AND AC, BETWEEN AC AND DC, OR BETWEEN DC AND DC, AND FOR USE WITH MAINS OR SIMILAR POWER SUPPLY SYSTEMS; CONVERSION OF DC OR AC INPUT POWER INTO SURGE OUTPUT POWER; CONTROL OR REGULATION THEREOF
    • H02M3/00Conversion of dc power input into dc power output
    • H02M3/02Conversion of dc power input into dc power output without intermediate conversion into ac
    • H02M3/04Conversion of dc power input into dc power output without intermediate conversion into ac by static converters
    • H02M3/06Conversion of dc power input into dc power output without intermediate conversion into ac by static converters using resistors or capacitors, e.g. potential divider
    • H02M3/07Conversion of dc power input into dc power output without intermediate conversion into ac by static converters using resistors or capacitors, e.g. potential divider using capacitors charged and discharged alternately by semiconductor devices with control electrode, e.g. charge pumps

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Computing Systems (AREA)
  • General Engineering & Computer Science (AREA)
  • Mathematical Physics (AREA)
  • Dc-Dc Converters (AREA)
  • Logic Circuits (AREA)

Abstract

一种集成电路(IC)系统包括:多个IC,被配置为叠置式电压域布置,使得至少一个IC的低侧供电轨与至少另一IC的高侧供电轨共用;可逆电压转换器,耦合到多个IC中的每个IC的功率轨,该可逆电压转换器被配置用于稳定与每个IC对应的个体电压域;以及一个或者多个数据电压电平移位器,配置用于支持在操作于不同电压域中的IC之间的数据通信,其中与第一电压域中的一个电压对应的给定逻辑状态的输入信号移位到处于第二电压域中的另一电压的相同逻辑状态的输出信号。

Description

具有叠置式电压域的电压转换和集成电路
技术领域
本发明主要地涉及电压转换技术并且更具体地涉及一种具有叠置式电压域、电压电平移位和电压稳定性的可逆电压转换系统和集成电路(IC)系统。
背景技术
功率管理已经变成高级计算架构(包括高端微处理器系统和移动电子设备)的一个关键组成。然而,现有片上解决方案在同时实现高输出电流和高功率转换效率时的成就有限。
具体而言,用于CMOS(互补金属氧化物半导体)技术的标称电源电压(VDD)值已经由于性能和功率升级而在过去数年内逐渐减少。反之,维持功率递送系统中的效率又已经随着VDD等比下降而变得更困难。在VDD=1伏(V)处,从外部电源到在VDD操作的电路的能量损耗明显。由于在递送电网上的功率损耗与电压的平方(V2)成反比,所以关于功率递送的效率问题对于所谓“低”VDD电路(例如约300-500毫伏(mV))而言进一步恶化。
因而期望能够提供用于集成电路设备的改进型电压转换系统和改进型整体系统功率管理。
发明内容
在一个示例性实施例中,一种集成电路(IC)系统,包括:多个IC,相对于外部电源电压被配置为叠置式电压域布置,使得多个IC中的至少一个IC的低侧供电轨与多个IC中的至少另一IC的高侧供电轨共用;可逆电压转换器,其耦合到多个IC中的每个IC的功率轨,该电压转换器被配置用于稳定与每个IC对应的个体电压域;以及一个或者多个数据电压电平移位器,其被配置成支持在操作于不同电压域中的IC之间的数据通信,其中与第一电压域中的一个电压对应的给定逻辑状态的输入信号移位为处于第二电压域中的另一电压的相同逻辑状态的输出信号。
在另一实施例中,一种数据电压电平移位装置,包括:反相器,其接收给定逻辑状态的输入信号,该输入信号源自在第一电压域中操作的第一集成电路器件;交叉耦合锁存器器件,其产生相同逻辑状态的输出信号,输出信号用于由在第二电压域中操作的第二集成电路器件使用;以及电容器,其将输入信号的反相值动态耦合到锁存器器件的第一节点,使得锁存器器件的第二互补节点对应于用于在第二电压域中使用的移位输出数据。
在另一实施例中,一种可逆切换式电容器电压转换装置,包括:相互分级耦合的多个单独单位单元,其中每个单位单元包括被配置为叠置式配置的多组反相器器件,使得每组反相器器件在单独的电压域中操作,其中在相邻电压域中的反相器器件的输出相互电容耦合;并且其中多个个体单位单元中的至少一个单位单元的输出适于作为用于多个个体单位单元中的至少另一单位单元的对应输入。
在另一实施例中,一种调节集成电路(IC)系统的电流、功率和电压电平的方法,包括:相对于外部电源电压将多个IC配置为叠置式电压域布置,使得多个IC中的至少一个IC的低侧供电轨与多个IC中的至少另一IC的高侧供电轨共用;将可逆电压转换器耦合到多个IC中的每个IC的功率轨,该电压转换器被配置用于稳定与每个IC对应的个体电压域;以及设置可逆电压转换器的时钟频率以便在IC系统中选择性地至少在不同于多个IC中的每个IC利用基本上相同电流的第一功率模式的以下功率模式中操作:第二功率模式,其中多个IC中的每个IC在基本上相同的电压处操作;以及第三功率模式,其中多个IC耗散基本上相同数量的功率。
在又一实施例中,一种在集成电路器件之间实施的数据电压电平移位的方法,包括:将给定逻辑状态的输入信号耦合到在第一电压域中操作的反相器,输入信号源自在第一电压域中操作的第一集成电路器件;从在第二电压域中操作的交叉耦合锁存器器件生成相同逻辑状态的输出信号,输出信号用于由在第二电压域中操作的第二集成电路器件使用;并且经过电容器将输入信号的反相值动态耦合到锁存器器件的第一节点,使得锁存器器件的第二互补节点对应于用于在第二电压域中使用的移位输出数据
附图说明
参照以下示例附图,其中在若干幅图中相似元件编号相似:
图1是根据本发明一个实施例的具有叠置式电压域、电压电平移位和电压稳定性的集成电路(IC)系统的示意图;
图2是根据本发明又一实施例的可以在图1的数据电压电平移位器中包括的示例电压电平移位器电路的示意图;
图3是根据本发明又一实施例的可以在图1的数据电压电平移位器中包括的另一示例电压电平移位器电路的示意图;
图4是具有对应2∶1切换式电容器电压转换器的叠置式IC系统的两域例子的示意图;
图5是图示了相对于图4的第一集成电路IC-1和第二集成电路IC-2实施恒定功率操作的例子的表;
图6是图示了相对于图4的第一集成电路IC-1和第二集成电路IC-2实施恒定功率操作的另一例子的表;
图7是使用切换式电容器电压转换器的单相电压转换系统的示意图;
图8是具有对应3∶1切换式电容器电压转换器的叠置式IC系统的多相三域例子的示意图;
图9(a)是电压转换器单元的示意图;
图9(b)是根据本发明又一实施例的如图9(a)中所示切换式电容器电压转换器单元链的示意图;
图10是3节点可逆切换式电容器电压转换器的示意图;
图11是4节点可逆切换式电容器电压转换器的示意图;
图12(a)至12(c)是分别具有3、4和5个节点的示例可逆切换式电容器电压转换器系统的示意图;
图13是可逆切换式电容器电压转换器系统的示意图;
图14是另一可逆切换式电容器电压转换器系统的示意图;
图15(a)至15(d)是可以被并入到图13和图14中所示系统中的示例电压转换器单元的示意图;
图16是具有非重叠激励信号示例生成的电压转换器单元的示意图;并且
图17是代表在叠置式电压域上操作也在物理上竖直叠置的IC的示意图。
具体实施方式
在此公开一种具有叠置式电压域、电压电平移位和电压稳定性的集成电路(IC)系统。简言之,公开的系统的示例实施例包括在划分的和串行叠置的电压域中操作的多个IC,其中每个域具有与标称电源电压值(Vdd)大致上相等的两端电压降。例如,第一集成电路在接地点与Vdd之间的电压域内操作,第二集成电路在Vdd与约2*Vdd之间的电压域内操作,而第三集成电路在2*Vdd与约3*Vdd之间的电压域内操作。然而,还可以想到其他叠置式IC。
虽然所公开系统的大部分功率可以直接经过IC下传,但是使用可逆切换式电容器电压转换系统来稳定划分的电压域,如下文进一步具体描述的那样。除了可逆电压转换器(其中在域中的电压节点可以代表输入电压或者输出电压)之外,系统也以如下高速电压电平移位器为特征,该移位器用于在操作于不同电压域中的IC之间的数据通信。
另外,可逆切换式电容器电压转换器的实施例包括除切换式电容器之外的在划分的和串行叠置的电压域中操作的IC。切换式电容器的一个端子在一个电压域中,而切换式电容器的其它另一端子在另一电压域中。
最初参照图1,示出了根据本发明一个实施例的具有叠置式电压域、电压电平移位和电压稳定性的集成电路(IC)系统100的示意图。具体而言,系统100包括相对于电源配置被配置为叠置式布置的多个集成电路102(在图1中各自标示为IC-1、IC-2和IC-3),其中用于IC-1的高侧供电轨与用于IC-2的低侧供电轨共用,而用于IC-2的高侧供电轨与用于IC-3的低侧供电轨共用。然而,每个IC102各自按照在其相应功率轨两端的大约相同电压值(Vdd)操作。在所描绘的例子中,图示了这三个电压域(即V1至V0、V2至V1和V3至V2,其中V0=0、V1~Vdd、V2~2*Vdd并且V3~3*Vdd),尽管将理解也可以在系统内配置甚至更多电压域和集成电路。如上文所示,可逆电压转换器104稳定系统100的电压域。电流可以在可逆电压转换器的四个电压节点(V0、V1、V2和V3)中的每个电压节点中在两个方向上流动。可逆电压转换器104的一个示例实施例为多节点切换式电容器电压转换器,而可逆电压转换器104的另一示例实施例可以是一个或者多个同步降压转换器。
在一个实际系统实施方式中,期望在各种IC102之间传送数据。假如存在随着IC而不同的电压域,在系统100内也包括数据电压电平移位器106,使得例如来自V1至接地点的电压域中的IC-1的逻辑数据可以由在V2至V1的电压域中IC-2解析,反之亦然。类似地,来自V2至V1的电压域中的IC-2的逻辑数据可以由V3至V2电压域中的IC-3解析,反之亦然。
图2是根据本发明又一实施例的可以在图1的数据电压电平移位器106内包括的示例电压电平移位器电路200的示意图。在所描绘的例子中,电路200从较低电压域向较高电压域转换数据转变。更具体地说,电路200将来自第一电压域(V1至接地点)的输入数据转换成用于在第二电压域(V2至V1)中使用的输出数据。例如,如果Vdd=1.0V,则以下值适用:第一电压域中的逻辑“0”对应于0V,第一电压域中的逻辑“1”对应于1.0V,第二电压域中的逻辑“0”对应于1.0V,而第二电压域中的逻辑“1”对应于2.0V。
电路200包括:在第二电压域中操作的交叉耦合锁存器器件202(实质上为SRAM单元拓扑),在第一电压域中操作的反相器204,以及将输入数据(Data_01)的反相值动态耦合到锁存器器件202的第一(内部)节点206的电容器C。锁存器器件202的第二(外部)互补节点208代表用于在第二电压域中使用的移位输出数据(Data_12)。还应注意,图2中所示针对NFET和PFET器件的相对器件强度(作为接通电阻Ron的因子)仅为举例,并且将不理解为任何限制意义。
在操作中,当输入数据(Data_01)的值从逻辑0向逻辑1(即从0V向1.0V)转变时,反相器204使电容器C的下部电极从1.0V电势向接地点(0V)电势转变。假设输出数据(Data_12)的初始状态在转变时为逻辑0(即第二电压域中的1.0V),内部节点206初始处于2.0V的逻辑高状态。这意味着,在电容器C两端的电压初始为2.0V-1.0V=1.0V。由于电容器电压未瞬时改变,因此上部电极随着下部电极的电势向1.0V降低。因而,外部节点208继而向2.0V的逻辑高度拉高,这加剧了向1.0V下拉内部节点206。
另一方面,如果锁存器202的输出数据(Data_12)的初始状态在输入数据(Data_01)从0向1的相同转变期间已经处于逻辑1(2.0V),则初始时电容器两端不会存在净电压。因此,在电容器C的下部电极耦合到接地点时,初始将把电容器的上部电极拉向接地点这一事实也不会改变锁存器202的逻辑状态。相反,栅极与内部节点206耦合的PFET将被转变得甚至更强,并且锁存器202将加强维持内部节点206上的1.0V,由此将电容器C充电上至1.0V。
相反,当输入数据(Data_01)从1向0转变(并且假设原始状态输出数据(Data_12)处于2.0V)时,电容器C的下部电极从地电势切换为1.0V。同样,由于在电容器C两端的1.0V净电压没有瞬时改变,所以耦合到内部节点206的C的上部电极尝试“跟随”下部电极从1.0V至2.0V。这转而又使外部节点上的电压从2.0V向1.0V转变,由此加强内部节点206上的2.0V值。
如果锁存器202的输出数据(Data_12)的初始状态在输入数据(Data_01)从1向0的相同转换期间已经处于逻辑0(1.0V),则在电容器C两端的电压最初将是2.0V。因此,在电容器C的下部电极耦合到1.0V时,电容器的上部电极将初始尝试升向3.0V不会改变锁存器202的逻辑状态。相反,栅极与内部节点206耦合的NFET甚至将被转变得更强,并且锁存器202将加强维持内部节点206上的2.0V。由此将电容器放电下至1.0V。
除了将数据(及其逻辑值的转变)从较低电压域移位到较高电压域之外,还可以实现将数据(及其逻辑值的转变)从较高电压域移位到较低电压域。图3是根据本发明又一实施例的可以在图1的数据电压电平移位器106内包括的另一示例电压电平移位器电路300的示意图。在描绘的例子中,电路200从较高电压域向较低电压域转换数据转变。甚至更具体而言,电路300将来自第二电压域(2*Vdd至Vdd)的输入数据转换成用于在第一电压域(Vdd至接地点)中使用的输出数据。
电路300包括:在第一电压域中操作的交叉耦合锁存器器件302(实质上为SRAM单元拓扑),在第二电压域中操作的反相器304,以及将输入数据(Data_12)的反相值动态耦合到锁存器器件302的第一(内部)节点306的电容器C。锁存器器件302的第二(外部)节点308代表用于在第一电压域中使用的移位输出数据(Data_01)。同样,还应注意,图3中所示用于NFET和PFET器件的相对器件强度(作为接通电阻Ron的因子)仅为举例,并且将不理解为任何限制意义。
由于电路300的操作基本上类似于电路200的操作,所以省略对相同操作的具体说明。然而,概括而言,输入数据(Data_12)在第二电压域中从逻辑0向逻辑1(1.0V至2.0V)的转变造成输出数据(Data_01)在第一电压域中从逻辑0向逻辑1(0V至1.0V)的对应改变。反之,输入数据(Data_12)在第二电压域中从逻辑1向逻辑0(2.0V至1.0V)的转变造成输出数据(Data_01)在第一电压域中从逻辑1向逻辑0(1V至0V)的对应改变。
除了支持在叠置式电压域内在集成电路之间的通信之外,这种电压电平移位电路200、300也允许在不同电压电平操作的同步时钟,这些时钟反过来又用于切换式电容器电压转换器。这种电压转换器(例如图1中的转换器104)还可以有利地被用来相对于驻留在不同电压域中的多个IC之间的不同负载而实施一个或者多个功率调节模式。
举例而言,图4是具有对应2∶1切换式电容器电压转换器404的叠置式IC系统400的双域(two-domain)例子的示意图。在实际系统中,完全可设想IC-1和IC-2例如由于晶体管器件阈值电压(Vt)变化或者活动变化而可以具有不同负载。在这种情况下,可以用适应IC-1和IC-2的需要的方式使用可逆电压转换器404。特别地,可以通过改变转换器时钟频率(即转换器开关信号φ1和φ2交替地开启和关断转换器开关的速率)来实现若干功率调节模式。例如,为了提供经过IC-1和IC-2的基本上相同电流值,将转换器频率设置成0(即实质上失活)以防止电流从任一串联连接IC分流。在另一极端情况,为了建立在IC-1和IC-2两端的基本上相同操作电压,转换器的时钟频率应当接近于无穷。又一可能操作模式是通过将时钟频率设置成某一中间值来平衡IC-1和IC-2的功率使用。更一般而言,对于任何所需电流和电压关系,电流对于IC-1和IC-2负载而言与V1.5成比例。
图5是图示了参照图4的第一集成电路IC-1和第二集成电路IC-2实施恒定功率操作的例子的表。在该例子中,R1>R2,其中R1为IC-1的负载电阻(例如1Ω),R2为IC-2的负载电阻(例如0.8Ω),并且外部电源的操作电压为V2=1.8V。
在未使用调节器时,在IC-1两端的所得电压为V1=1.0V(1.0A的相同电流流过IC-1和IC-2);因此,IC-2耗散的功率为P2=0.8W,而IC-1耗散的功率为P1=1.0W(因为P=I2R并且I1=I2=1.0A)。这代表IC-1和IC-2耗散的功率相差20%。相反,通过使用可逆电压转换器,在IC-1两端的电压可以下调至V1=0.95V,因此在IC-2两端的电压可以上调至Vdd2=V2-V1=0.85V。因而,IC-1和IC-2不再传递相同量值的电流(经过IC-2的电流从1.0A增加至1.0625A,而经过IC-1的电流从1.0A减少至0.95A),因此P2=P1=0.903W。
图6是代表在无电压调节时的功率耗散差与通过电压调节的功率耗散平衡之间的比较的另一个表。在该例子中,相同参数值仅对于R1<R2而言这时R1=0.8Ω和R2=1.0Ω。概括而言,在无电压调节时,IC-2耗散1.0W,而IC-1耗散0.8W,从而表示20%功率差。通过使用可逆电压转换器,在IC-1两端的电压可以上调至V1=0.85V,而在IC-2两端的电压因此下调至Vdd2=V2-V1=0.95V。因而IC-1和IC-2不再传递相同量值的电流(经过IC-2的电流从1.0A减少至0.95A,而经过IC-1的电流从1.0A增加至1.0625A),因此P2=P1=0.903W。
在图7中示出了示例单相电压切换式电容器电压转换器700的示意图以及关联电压和时序图。参照图8,示出了使用切换式电容器电压转换器的示例多相电压转换系统800的示意图。系统800是三节点可逆电压转换器、具有V2、V1和V0(例如,地平面)的电网并且适合于与具有叠置式电压域的多IC器件系统一起使用。实施了时钟生成器802。时钟划分器和时钟相位生成器804从时钟生成器802接收输入时钟信号并且生成具有多个相位的输出时钟信号。在描绘的示例实施例中,在图8中生成标示为φ1、φ2、φ3和φ4的四个相位。
假设来自时钟生成器和时钟划分器的这些原始时钟信号在V1与接地点之间摆动,然后电平移位器806(例如如图1-3中所示)被用以生成在V1与V2之间操作的时钟信号以及用于在地平面与V1之间操作的原时钟信号的匹配延迟。这些重新生成的时钟信号(例如用于相位φ1的信号φp 2-1、φn 2-1、φp 1-0、φn 1-0、)继而耦合到比如上述图7中所示的电压转换器808。同样可以将该示例系统800扩展至用于3-到-1的电压转换或者更一般地为M-到-N的电压转换,其中可以使用用于中间电压电平的电网。它还可扩展为与所需一样多的时钟相位。可以在于2009年2月25日提交的第12/392,476号共同未决申请中找到对电压转换器电路的操作和拓扑进行描述的附加信息,其内容整体并入于此。
用包括时钟生成、时钟划分器和相位生成、电平移位和延迟匹配的更传统控制方案实施图8中所示切换式电容电压转换器。为了提高转换效率,通过明显减少或者消除控制电路开销的新颖拓扑和方法如下文讨论的那样使用于操作控制电路的功率消耗开销最少。
基于图7中所示转换器拓扑,在图9(a)中示出了修改版本。在图9(a)的电容器电压转换器902中,包括P1和N1的下部反相器在V0与V1之间的第一电压域中操作,而包括P2和N2的上部反相器在V1与V2之间的第二电压域中操作。电压转换器902代表用于操作在叠置式电压域的多相系统的基本块或者单位单元。与如图7中所示将非重叠激励信号用于P2和N2对比,在图9(a)的实施例中向P2和N2施加共同激励信号。这里,P2和N2的晶体管阈值电压可以有利地选择成大于在电压域两端的电压降的一半,使得P2和N2不被同时接通。类似技术应用于P1和N1。
通过比较,图9(b)图示了单位单元904的开端链,其中每个单位单元驱动下一单位单元。激励信号仅用于第一单元而不是让单独激励器信号用于每个单位单元。这样,图8中所示控制机制与传统控制机制相比更简易从而带来明显的功率节省。
可以用任何奇数个反相级形成环形振荡器。如图10中所示,用3节点转换器单元形成示例环形振荡器结构1000,其中每个单位单元驱动下一单位单元。这形成3节点(V2、V1和GND)可逆电压转换器系统。在图11中示出了4节点(V3、V2、V1和GND)可逆电压转换器系统1100,该系统包括具有奇数个4节点电压转换器单元(每个单元驱动下一单元)的环形振荡器结构。通过将环形振荡器结构与电压转换器本身的单位单元集成,完整电压转换器系统由电压转换单位单元形成而无针对附加控制电路的任何布局和功率开销。
主要参照图12(a)至12(c),将了解上文公开的电压转换器包括在叠置式电压域上操作的电路块。例如,图12(a)描绘了可以视为分别在V0至V1和V1至V2这两个电压域上操作的两个环形振荡器的3节点系统1200。图12(b)图示了可以视为分别在V0至V1、V1至V2和V2至V3这三个电压域上操作的三个环形振荡器的4节点系统1220。图12(c)图示了可以视为分别在V0至V1、V1至V2、V2至V3和V3至V4这四个电压域上操作的三个环形振荡器的5节点系统1240。将在N个叠置式电压域上构建具有N+1个节点的可逆切换式电容器电压转换器。
一般而言,所公开的方法使用电压转换器以在叠置式电压域上操作并且以一个单元在环形振荡器结构中或者在开端链结构中驱动另一单元这样的方式生成输入/输出信号。在图13中示出了示例环结构1300,其中假设系统具有奇数个反相级,则无需附加电路以用于电压转换器工作。在图14中示出了开端链结构1400,其中φ1和φ2是用于两个示例开链的初始输入。一般而言,可以通过比如图8中所示传统控制方案生成一个或者多个初始输入激励信号。
对于图13中所示环结构1300和图14中所示开链结构1400,可以构造各种电压转换器单元以求折衷和设计效率。图15(a)至15(d)图示了这种3节点转换器的一些例子。然而将理解还可以构造n节点单位单元。例如,图15(a)是更早地也在图9(a)中示出的转换器1500的最简易版本。在图15(b)至15(d)中以及在图16中呈现关于这一设计的变化。
如具体在图15(b)中所示,可以通过插入延迟元件(缓冲器)来控制环形振荡器1520的时钟频率。可以例如通过使用附加偏置电压在设计上固定或者在操作时改变延迟时间量。更一般而言,可以用包括任何数目的电压转换器单位单元和任何数目的其它缓冲器或者反相器的任何奇数个反相级形成环形振荡器。用于控制时钟频率的技术类似于在压控振荡器(VCO)电路中使用的技术并且为VCO设计领域技术人员所理解。
同样可以分别针对图15(c)和图15(d)中所示转换器1540、1560的每个切换器件生成单独激励信号。该拓扑的一个目的在于生成非重叠信号。在参照图9(a)的上文描述中,使用阈值电压控制方法,使得在每对中的PFET和NFET不被同时导通。在图16中示出了具有非重叠激励信号的电压转换器单元1600的又一备选实施例。通过变化NFET/PFET器件的宽度比来偏斜上升和下降转变时间,因而可以生成并且向每个FET应用非重叠激励信号。
因而将理解在此描述的集成电路实施例可以解释为任何电路块、微处理器核和任何其它逻辑或者物理电路单元。它们可以在同一物理芯片或者不同芯片上。当实施于同一芯片上时,使用绝缘体上硅(SOI)技术特别有利,或者备选地,使用三层阱体堆积技术是可能的。当实施于不同芯片上时,可以在物理上叠置电压域。为此,图17示出了芯片1700在还在物理上竖直叠置的叠置式电压域上的配置,这可以是一种用于3D集成电路技术的优选功率递送方法。
尽管已经参照一个或者多个优选实施例描述本发明,但是本领域技术人员将理解可以进行各种改变并且等效要素可以取代其要素而不脱离本发明的范围。此外,可以进行许多修改以使具体情形或者材料适应本发明的教导而不脱离其实质范围。因此,旨在于让本发明不限于作为用于实现本发明的最佳实施方式而公开的特定实施例,而是本发明将包括落入所附权利要求的范围内的所有实施例。

Claims (32)

1.一种集成电路IC系统,包括:
多个IC,其相对于外部电源电压被配置为叠置式电压域布置,使得所述多个IC中的至少一个IC的低侧供电轨与所述多个IC中的至少另一个IC的高侧供电轨共用;
可逆电压转换器,其耦合到所述多个IC中每个IC的功率轨,所述电压转换器被配置用于稳定与每个IC对应的单独电压域;以及
一个或者多个数据电压电平移位器,其被配置用于支持在操作于不同电压域中的IC之间的数据通信,其中与第一电压域中的一个电压对应的给定逻辑状态的输入信号被移位为处于第二电压域中的另一电压的相同逻辑状态的输出信号;
其中所述一个或者多个数据电压电平移位器进一步包括:
反相器,其在所述第一电压域中操作,接收给定逻辑状态的所述输入信号;
交叉耦合锁存器器件,其在所述第二电压域中操作,产生相同逻辑状态的所述输出信号;以及
电容器,其将所述输入信号的反相值动态地耦合到所述锁存器器件的第一节点,使得所述锁存器器件的第二互补节点对应于用于在所述第二电压域中使用的移位输出数据。
2.根据权利要求1所述的系统,其中:
对于总数为N的叠置式IC,在所述系统中有N个电压域;
所述多个IC被串行叠置在处于电压值N*Vdd的高电压轨与接地点之间,其中Vdd表示所述IC中的给定IC的标称操作电压;以及
其中最低电压域在Vdd与接地点之间操作,次最低电压域在2*Vdd与Vdd之间操作,并且其中最高电压域在N*Vdd与(N-1)*Vdd之间操作。
3.根据权利要求1所述的系统,其中所述一个或者多个数据电压电平移位器操作以用于跨不同电压域而传送数据从逻辑高向逻辑低和从逻辑低向逻辑高的转变。
4.根据权利要求1所述的系统,其中所述可逆电压转换器被配置用于选择性地至少在以下功率模式中操作:第一功率模式,其中所述多个IC中的每个IC利用基本相同的电流;第二功率模式,其中所述多个IC中的每个IC在基本上相同的电压处操作;以及第三功率模式,其中所述多个IC耗散基本上相同量的功率。
5.根据权利要求4所述的系统,其中所述第一功率模式、第二功率模式和第三功率模式取决于所述可逆电压转换器的时钟频率。
6.根据权利要求1所述的系统,其中所述多个IC置于共用芯片上。
7.根据权利要求6所述的系统,其中所述共用芯片包括绝缘硅SOI技术和三层阱体堆积技术之一。
8.根据权利要求1所述的系统,其中所述多个IC包括相对于彼此竖直叠置的单独芯片。
9.一种集成电路IC系统,包括:
多个IC,其相对于外部电源电压被配置为叠置式电压域布置,使得所述多个IC中的至少一个IC的低侧供电轨与所述多个IC中的至少另一个IC的高侧供电轨共用;
可逆电压转换器,其耦合到所述多个IC中每个IC的功率轨,所述电压转换器被配置用于稳定与每个IC对应的单独电压域;以及
一个或者多个数据电压电平移位器,其被配置用于支持在操作于不同电压域中的IC之间的数据通信,其中与第一电压域中的一个电压对应的给定逻辑状态的输入信号被移位为处于第二电压域中的另一电压的相同逻辑状态的输出信号;
其中所述可逆电压转换器被配置用于选择性地至少在以下功率模式中操作:第一功率模式,其中所述多个IC中的每个IC利用基本相同的电流;第二功率模式,其中所述多个IC中的每个IC在基本上相同的电压处操作;以及第三功率模式,其中所述多个IC耗散基本上相同量的功率。
10.根据权利要求9所述的系统,其中所述第一功率模式、第二功率模式和第三功率模式取决于所述可逆电压转换器的时钟频率。
11.根据权利要求9所述的系统,其中:
对于总数为N的叠置式IC,在所述系统中有N个电压域;
所述多个IC被串行叠置在处于电压值N*Vdd的高电压轨与接地点之间,其中Vdd表示所述IC中的给定IC的标称操作电压;以及
其中最低电压域在Vdd与接地点之间操作,次最低电压域在2*Vdd与Vdd之间操作,并且其中最高电压域在N*Vdd与(N-1)*Vdd之间操作。
12.根据权利要求9所述的系统,其中所述一个或者多个数据电压电平移位器进一步包括:
反相器,其在所述第一电压域中操作,接收给定逻辑状态的所述输入信号;
交叉耦合锁存器器件,其在所述第二电压域中操作,产生相同逻辑状态的所述输出信号;以及
电容器,其将所述输入信号的反相值动态地耦合到所述锁存器器件的第一节点,使得所述锁存器器件的第二互补节点对应于用于在所述第二电压域中使用的移位输出数据。
13.根据权利要求9所述的系统,其中所述一个或者多个数据电压电平移位器操作以用于跨不同电压域而传送数据从逻辑高向逻辑低和从逻辑低向逻辑高的转变。
14.根据权利要求9所述的系统,其中所述多个IC置于共用芯片上。
15.根据权利要求14所述的系统,其中所述共用芯片包括绝缘硅SOI技术和三层阱体堆积技术之一。
16.根据权利要求9所述的系统,其中所述多个IC包括相对于彼此竖直叠置的单独芯片。
17.一种数据电压电平移位装置,包括:
反相器,其接收给定逻辑状态的输入信号,所述输入信号源自在第一电压域中操作的第一集成电路器件;
交叉耦合锁存器器件,其产生相同逻辑状态的输出信号,所述输出信号用于由在第二电压域中操作的第二集成电路器件使用;以及
电容器,其将所述输入信号的反相值动态地耦合到所述锁存器器件的第一节点,使得所述锁存器器件的第二互补节点对应于用于在所述第二电压域中使用的移位输出数据。
18.根据权利要求17所述的装置,其中所述数据电压电平移位装置操作以用于跨不同电压域而传送数据从逻辑高向逻辑低和从逻辑低向逻辑高的转变。
19.根据权利要求17所述的装置,其中所述第二电压域高于所述第一电压域。
20.根据权利要求17所述的装置,其中所述第一电压域高于所述第二电压域。
21.一种可逆的切换式电容器电压转换装置,包括:
相互分级耦合的多个个体单位单元,其中每个单位单元包括被布置为叠置式配置的多组反相器器件,使得每组反相器器件在独立电压域中操作,其中相邻电压域中的反相器器件的输出彼此电容耦合;以及
其中所述多个个体单位单元中的至少一个单位单元的输出充当用于所述多个个体单位单元中的至少另一单位单元的对应输入。
22.根据权利要求21所述的装置,其中所述多个个体单位单元中的最后单位单元的输出包括向环形振荡器类型结构的所述多个个体单位单元中的第一单位单元的输入。
23.根据权利要求21所述的装置,其中向所述多个个体单位单元中的第一单位单元的输入包括控制信号。
24.根据权利要求23所述的装置,其中向所述多个个体单位单元中的所述第一单位单元的所述输入被禁止允许同时导通每个电压域中的反相器器件的PFET器件和NFET器件。
25.根据权利要求24所述的装置,其中向所述多个个体单位单元中的所述第一单位单元的每个输入被耦合到在对应电压域中操作的缓冲器器件,其中每个缓冲器器件的输出充当向所述对应电压域中的所述反相器器件的输入。
26.根据权利要求25所述的装置,对于每个电压域,还包括与所述反相器器件的PFET耦合的第一缓冲器器件和与所述反相器器件的NFET耦合的第二缓冲器器件。
27.根据权利要求26所述的装置,其中所述第一缓冲器器件和第二缓冲器器件各自包括反相器对,其中所述反相器对中的第一反相器具有较大的NFET/PFET器件宽度比,并且所述反相器对中的第二反相器具有较大的PFET/NFET器件宽度比。
28.一种调节集成电路IC系统的电流、功率和电压电平的方法,所述方法包括:
相对于外部电源电压将多个IC配置为叠置式电压域布置,使得所述多个IC中的至少一个IC的低侧供电轨与所述多个IC中的至少另一IC的高侧供电轨共用;
将可逆电压转换器耦合到所述多个IC中每个IC的功率轨,所述电压转换器被配置用于稳定与每个IC对应的个体电压域;以及
设置所述可逆电压转换器的时钟频率,以便在所述IC系统中选择性地至少在不同于所述多个IC中的每个IC利用基本上相同电流的第一功率模式的以下功率模式中操作:第二功率模式,其中所述多个IC中的每个IC在基本上相同的电压处操作;以及第三功率模式,其中所述多个IC耗散基本上相同量的功率。
29.根据权利要求28所述的方法,其中对于总数为N的叠置式IC,在所述系统中存在N个电压域。
30.根据权利要求29所述的方法,其中:
所述多个IC被串行叠置于处于电压值N*Vdd的高电压轨与接地点之间,其中Vdd表示所述IC中的给定IC的标称操作电压;以及
其中最低电压域在Vdd与接地点之间操作,次最低电压域在2*Vdd与Vdd之间操作,并且其中最高电压域在N*Vdd与(N-1)*Vdd之间操作。
31.根据权利要求29所述的方法,还包括:配置一个或者多个数据电压电平移位器,所述数据电压电平移位器被配置用于支持在操作于不同电压域中的IC之间的数据通信,其中与第一电压域中的一个电压对应的给定逻辑状态的输入信号移位到处于第二电压域中的另一电压的相同逻辑状态的输出信号。
32.一种在集成电路器件之间实施数据电压电平移位的方法,所述方法包括:
将给定逻辑状态的输入信号耦合到在第一电压域中操作的反相器,所述输入信号源自在所述第一电压域中操作的第一集成电路器件;
从在第二电压域中操作的交叉耦合锁存器器件生成相同逻辑状态的输出信号,所述输出信号用于由在所述第二电压域中操作的第二集成电路器件使用;以及
通过电容器将所述输入信号的反相值动态地耦合到所述锁存器器件的第一节点,使得所述锁存器器件的第二互补节点对应于用于在所述第二电压域中使用的移位输出数据。
CN201080012929.6A 2009-04-13 2010-03-10 具有叠置式电压域的电压转换和集成电路 Active CN102362266B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/422,391 2009-04-13
US12/422,391 US8174288B2 (en) 2009-04-13 2009-04-13 Voltage conversion and integrated circuits with stacked voltage domains
PCT/US2010/026748 WO2010120412A1 (en) 2009-04-13 2010-03-10 Voltage conversion and integrated circuits with stacked voltage domains

Publications (2)

Publication Number Publication Date
CN102362266A CN102362266A (zh) 2012-02-22
CN102362266B true CN102362266B (zh) 2015-12-09

Family

ID=42933888

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201080012929.6A Active CN102362266B (zh) 2009-04-13 2010-03-10 具有叠置式电压域的电压转换和集成电路

Country Status (5)

Country Link
US (2) US8174288B2 (zh)
EP (1) EP2419832A4 (zh)
CN (1) CN102362266B (zh)
TW (1) TW201107956A (zh)
WO (1) WO2010120412A1 (zh)

Families Citing this family (193)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8228092B2 (en) * 2006-09-15 2012-07-24 Texas Instruments Northern Virginia Incorporated High voltage latching and DC restoration isolation and level shifting method and apparatus
US10043781B2 (en) 2009-10-12 2018-08-07 Monolithic 3D Inc. 3D semiconductor device and structure
US11374118B2 (en) 2009-10-12 2022-06-28 Monolithic 3D Inc. Method to form a 3D integrated circuit
US10910364B2 (en) 2009-10-12 2021-02-02 Monolitaic 3D Inc. 3D semiconductor device
US10388863B2 (en) 2009-10-12 2019-08-20 Monolithic 3D Inc. 3D memory device and structure
US11018133B2 (en) 2009-10-12 2021-05-25 Monolithic 3D Inc. 3D integrated circuit
US10157909B2 (en) 2009-10-12 2018-12-18 Monolithic 3D Inc. 3D semiconductor device and structure
US10354995B2 (en) 2009-10-12 2019-07-16 Monolithic 3D Inc. Semiconductor memory device and structure
US11984445B2 (en) 2009-10-12 2024-05-14 Monolithic 3D Inc. 3D semiconductor devices and structures with metal layers
US9385088B2 (en) * 2009-10-12 2016-07-05 Monolithic 3D Inc. 3D semiconductor device and structure
US10366970B2 (en) 2009-10-12 2019-07-30 Monolithic 3D Inc. 3D semiconductor device and structure
US8169257B2 (en) * 2009-11-18 2012-05-01 Freescale Semiconductor, Inc. System and method for communicating between multiple voltage tiers
US8476962B2 (en) * 2009-11-18 2013-07-02 Freescale Semiconductor, Inc. System having multiple voltage tiers and method therefor
US10217667B2 (en) 2011-06-28 2019-02-26 Monolithic 3D Inc. 3D semiconductor device, fabrication method and system
US11482440B2 (en) 2010-12-16 2022-10-25 Monolithic 3D Inc. 3D semiconductor device and structure with a built-in test circuit for repairing faulty circuits
US10497713B2 (en) 2010-11-18 2019-12-03 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11018191B1 (en) 2010-10-11 2021-05-25 Monolithic 3D Inc. 3D semiconductor device and structure
US11257867B1 (en) 2010-10-11 2022-02-22 Monolithic 3D Inc. 3D semiconductor device and structure with oxide bonds
US11469271B2 (en) 2010-10-11 2022-10-11 Monolithic 3D Inc. Method to produce 3D semiconductor devices and structures with memory
US11600667B1 (en) 2010-10-11 2023-03-07 Monolithic 3D Inc. Method to produce 3D semiconductor devices and structures with memory
US11227897B2 (en) 2010-10-11 2022-01-18 Monolithic 3D Inc. Method for producing a 3D semiconductor memory device and structure
US11158674B2 (en) 2010-10-11 2021-10-26 Monolithic 3D Inc. Method to produce a 3D semiconductor device and structure
US10896931B1 (en) 2010-10-11 2021-01-19 Monolithic 3D Inc. 3D semiconductor device and structure
US11315980B1 (en) 2010-10-11 2022-04-26 Monolithic 3D Inc. 3D semiconductor device and structure with transistors
US10290682B2 (en) 2010-10-11 2019-05-14 Monolithic 3D Inc. 3D IC semiconductor device and structure with stacked memory
US11024673B1 (en) 2010-10-11 2021-06-01 Monolithic 3D Inc. 3D semiconductor device and structure
US11984438B2 (en) 2010-10-13 2024-05-14 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US10833108B2 (en) 2010-10-13 2020-11-10 Monolithic 3D Inc. 3D microdisplay device and structure
US10998374B1 (en) 2010-10-13 2021-05-04 Monolithic 3D Inc. Multilevel semiconductor device and structure
US11929372B2 (en) 2010-10-13 2024-03-12 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11327227B2 (en) 2010-10-13 2022-05-10 Monolithic 3D Inc. Multilevel semiconductor device and structure with electromagnetic modulators
US10679977B2 (en) 2010-10-13 2020-06-09 Monolithic 3D Inc. 3D microdisplay device and structure
US11437368B2 (en) 2010-10-13 2022-09-06 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11063071B1 (en) 2010-10-13 2021-07-13 Monolithic 3D Inc. Multilevel semiconductor device and structure with waveguides
US11855114B2 (en) 2010-10-13 2023-12-26 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11694922B2 (en) 2010-10-13 2023-07-04 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11164898B2 (en) 2010-10-13 2021-11-02 Monolithic 3D Inc. Multilevel semiconductor device and structure
US11133344B2 (en) 2010-10-13 2021-09-28 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US10943934B2 (en) 2010-10-13 2021-03-09 Monolithic 3D Inc. Multilevel semiconductor device and structure
US11163112B2 (en) 2010-10-13 2021-11-02 Monolithic 3D Inc. Multilevel semiconductor device and structure with electromagnetic modulators
US11043523B1 (en) 2010-10-13 2021-06-22 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US11605663B2 (en) 2010-10-13 2023-03-14 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US10978501B1 (en) 2010-10-13 2021-04-13 Monolithic 3D Inc. Multilevel semiconductor device and structure with waveguides
US11855100B2 (en) 2010-10-13 2023-12-26 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11869915B2 (en) 2010-10-13 2024-01-09 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11404466B2 (en) 2010-10-13 2022-08-02 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US11355381B2 (en) 2010-11-18 2022-06-07 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11901210B2 (en) 2010-11-18 2024-02-13 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11854857B1 (en) 2010-11-18 2023-12-26 Monolithic 3D Inc. Methods for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11004719B1 (en) 2010-11-18 2021-05-11 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11164770B1 (en) 2010-11-18 2021-11-02 Monolithic 3D Inc. Method for producing a 3D semiconductor memory device and structure
US11211279B2 (en) 2010-11-18 2021-12-28 Monolithic 3D Inc. Method for processing a 3D integrated circuit and structure
US11923230B1 (en) 2010-11-18 2024-03-05 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11482439B2 (en) 2010-11-18 2022-10-25 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device comprising charge trap junction-less transistors
US11355380B2 (en) 2010-11-18 2022-06-07 Monolithic 3D Inc. Methods for producing 3D semiconductor memory device and structure utilizing alignment marks
US11482438B2 (en) 2010-11-18 2022-10-25 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11610802B2 (en) 2010-11-18 2023-03-21 Monolithic 3D Inc. Method for producing a 3D semiconductor device and structure with single crystal transistors and metal gate electrodes
US11495484B2 (en) 2010-11-18 2022-11-08 Monolithic 3D Inc. 3D semiconductor devices and structures with at least two single-crystal layers
US11031275B2 (en) 2010-11-18 2021-06-08 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11784082B2 (en) 2010-11-18 2023-10-10 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11508605B2 (en) 2010-11-18 2022-11-22 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11521888B2 (en) 2010-11-18 2022-12-06 Monolithic 3D Inc. 3D semiconductor device and structure with high-k metal gate transistors
US11094576B1 (en) 2010-11-18 2021-08-17 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11107721B2 (en) 2010-11-18 2021-08-31 Monolithic 3D Inc. 3D semiconductor device and structure with NAND logic
US11569117B2 (en) 2010-11-18 2023-01-31 Monolithic 3D Inc. 3D semiconductor device and structure with single-crystal layers
US11735462B2 (en) 2010-11-18 2023-08-22 Monolithic 3D Inc. 3D semiconductor device and structure with single-crystal layers
US11804396B2 (en) 2010-11-18 2023-10-31 Monolithic 3D Inc. Methods for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11121021B2 (en) 2010-11-18 2021-09-14 Monolithic 3D Inc. 3D semiconductor device and structure
US11862503B2 (en) 2010-11-18 2024-01-02 Monolithic 3D Inc. Method for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11615977B2 (en) 2010-11-18 2023-03-28 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11018042B1 (en) 2010-11-18 2021-05-25 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11443971B2 (en) 2010-11-18 2022-09-13 Monolithic 3D Inc. 3D semiconductor device and structure with memory
CN102545873B (zh) * 2010-12-24 2013-10-02 北京旋极信息技术股份有限公司 电平转换系统
JPWO2012157031A1 (ja) * 2011-05-13 2014-07-31 パナソニック株式会社 信号電位変換回路
US8494477B2 (en) * 2011-06-24 2013-07-23 Intel Corporation Power management for an electronic device
US10388568B2 (en) 2011-06-28 2019-08-20 Monolithic 3D Inc. 3D semiconductor device and system
US8487658B2 (en) * 2011-07-12 2013-07-16 Qualcomm Incorporated Compact and robust level shifter layout design
JP2013110314A (ja) * 2011-11-22 2013-06-06 Elpida Memory Inc 半導体装置
US11694944B1 (en) 2012-04-09 2023-07-04 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11476181B1 (en) 2012-04-09 2022-10-18 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11410912B2 (en) 2012-04-09 2022-08-09 Monolithic 3D Inc. 3D semiconductor device with vias and isolation layers
US11088050B2 (en) 2012-04-09 2021-08-10 Monolithic 3D Inc. 3D semiconductor device with isolation layers
US11735501B1 (en) 2012-04-09 2023-08-22 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11594473B2 (en) 2012-04-09 2023-02-28 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11616004B1 (en) 2012-04-09 2023-03-28 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US10600888B2 (en) 2012-04-09 2020-03-24 Monolithic 3D Inc. 3D semiconductor device
US11881443B2 (en) 2012-04-09 2024-01-23 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11164811B2 (en) 2012-04-09 2021-11-02 Monolithic 3D Inc. 3D semiconductor device with isolation layers and oxide-to-oxide bonding
CN102723755A (zh) * 2012-06-14 2012-10-10 北京华大智宝电子系统有限公司 一种电池组信息采集管理结构
TWI466422B (zh) * 2012-11-06 2014-12-21 Orise Technology Co Ltd 多重疊壓的電路架構
US9141421B2 (en) 2012-12-04 2015-09-22 International Business Machines Corporation Reducing power grid noise in a processor while minimizing performance loss
US11217565B2 (en) 2012-12-22 2022-01-04 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US11309292B2 (en) 2012-12-22 2022-04-19 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11967583B2 (en) 2012-12-22 2024-04-23 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11916045B2 (en) 2012-12-22 2024-02-27 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11961827B1 (en) 2012-12-22 2024-04-16 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11063024B1 (en) 2012-12-22 2021-07-13 Monlithic 3D Inc. Method to form a 3D semiconductor device and structure
US11018116B2 (en) 2012-12-22 2021-05-25 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US11784169B2 (en) 2012-12-22 2023-10-10 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11177140B2 (en) 2012-12-29 2021-11-16 Monolithic 3D Inc. 3D semiconductor device and structure
US11430667B2 (en) 2012-12-29 2022-08-30 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US10115663B2 (en) 2012-12-29 2018-10-30 Monolithic 3D Inc. 3D semiconductor device and structure
US10651054B2 (en) 2012-12-29 2020-05-12 Monolithic 3D Inc. 3D semiconductor device and structure
US11004694B1 (en) 2012-12-29 2021-05-11 Monolithic 3D Inc. 3D semiconductor device and structure
US10903089B1 (en) 2012-12-29 2021-01-26 Monolithic 3D Inc. 3D semiconductor device and structure
US10600657B2 (en) 2012-12-29 2020-03-24 Monolithic 3D Inc 3D semiconductor device and structure
US11087995B1 (en) 2012-12-29 2021-08-10 Monolithic 3D Inc. 3D semiconductor device and structure
US11430668B2 (en) 2012-12-29 2022-08-30 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US10892169B2 (en) 2012-12-29 2021-01-12 Monolithic 3D Inc. 3D semiconductor device and structure
US8902663B1 (en) 2013-03-11 2014-12-02 Monolithic 3D Inc. Method of maintaining a memory state
US11935949B1 (en) 2013-03-11 2024-03-19 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and memory cells
US10325651B2 (en) 2013-03-11 2019-06-18 Monolithic 3D Inc. 3D semiconductor device with stacked memory
US11869965B2 (en) 2013-03-11 2024-01-09 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and memory cells
US11923374B2 (en) 2013-03-12 2024-03-05 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11088130B2 (en) 2014-01-28 2021-08-10 Monolithic 3D Inc. 3D semiconductor device and structure
US10840239B2 (en) 2014-08-26 2020-11-17 Monolithic 3D Inc. 3D semiconductor device and structure
US11398569B2 (en) 2013-03-12 2022-07-26 Monolithic 3D Inc. 3D semiconductor device and structure
US8766675B1 (en) 2013-03-15 2014-07-01 International Business Machines Corporation Overvoltage protection circuit
US9219473B2 (en) 2013-03-15 2015-12-22 International Business Machines Corporation Overvoltage protection circuit
US10224279B2 (en) 2013-03-15 2019-03-05 Monolithic 3D Inc. Semiconductor device and structure
US11574109B1 (en) 2013-04-15 2023-02-07 Monolithic 3D Inc Automation methods for 3D integrated circuits and devices
US11487928B2 (en) 2013-04-15 2022-11-01 Monolithic 3D Inc. Automation for monolithic 3D devices
US11341309B1 (en) 2013-04-15 2022-05-24 Monolithic 3D Inc. Automation for monolithic 3D devices
US9021414B1 (en) 2013-04-15 2015-04-28 Monolithic 3D Inc. Automation for monolithic 3D devices
US11720736B2 (en) 2013-04-15 2023-08-08 Monolithic 3D Inc. Automation methods for 3D integrated circuits and devices
US11030371B2 (en) 2013-04-15 2021-06-08 Monolithic 3D Inc. Automation for monolithic 3D devices
US11270055B1 (en) 2013-04-15 2022-03-08 Monolithic 3D Inc. Automation for monolithic 3D devices
US8878387B1 (en) * 2013-05-16 2014-11-04 Micrel, Inc. Multi-level stack voltage system for integrated circuits
GB201314938D0 (en) * 2013-08-21 2013-10-02 Advanced Risc Mach Ltd Communication between voltage domains
US9473152B2 (en) 2013-11-08 2016-10-18 Taiwan Semiconductor Manufacturing Company, Ltd. Coupling structure for inductive device
US9191014B2 (en) 2013-11-08 2015-11-17 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus of synchronizing oscillators
US9673790B2 (en) 2013-11-08 2017-06-06 Taiwan Semiconductor Manufacturing Company Limited Circuits and methods of synchronizing differential ring-type oscillators
US10153728B2 (en) 2013-11-08 2018-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10270389B2 (en) 2013-11-08 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US9018987B1 (en) 2013-11-26 2015-04-28 Stmicroelectronics International N.V. Current reused stacked ring oscillator and injection locked divider, injection locked multiplier
US10297586B2 (en) 2015-03-09 2019-05-21 Monolithic 3D Inc. Methods for processing a 3D semiconductor device
US11031394B1 (en) 2014-01-28 2021-06-08 Monolithic 3D Inc. 3D semiconductor device and structure
US11107808B1 (en) 2014-01-28 2021-08-31 Monolithic 3D Inc. 3D semiconductor device and structure
WO2015120131A1 (en) * 2014-02-05 2015-08-13 The Regents Of The University Of Michigan Self-oscillating switched-capacitor dc-dc converter
CN104867914A (zh) * 2014-02-24 2015-08-26 超威半导体公司 一种多晶片系统
US9473141B2 (en) 2014-10-13 2016-10-18 Globalfoundries Inc. Receiving an I/O signal in multiple voltage domains
US9755506B2 (en) 2014-12-11 2017-09-05 International Business Machines Corporation Efficient voltage conversion
US10381328B2 (en) 2015-04-19 2019-08-13 Monolithic 3D Inc. Semiconductor device and structure
US11056468B1 (en) 2015-04-19 2021-07-06 Monolithic 3D Inc. 3D semiconductor device and structure
US11011507B1 (en) 2015-04-19 2021-05-18 Monolithic 3D Inc. 3D semiconductor device and structure
US10825779B2 (en) 2015-04-19 2020-11-03 Monolithic 3D Inc. 3D semiconductor device and structure
US9344088B1 (en) * 2015-04-30 2016-05-17 Freescale Semiconductor, Inc. Driver circuit receiving a regulated pre-driver supply voltage
US9912335B2 (en) 2015-07-08 2018-03-06 Nxp B.V. Configurable power domain and method
US9917588B2 (en) 2015-07-08 2018-03-13 Nxp B.V. Level shifter and approach therefor
US11956952B2 (en) 2015-08-23 2024-04-09 Monolithic 3D Inc. Semiconductor memory device and structure
CN108401468A (zh) 2015-09-21 2018-08-14 莫诺利特斯3D有限公司 3d半导体器件和结构
US11978731B2 (en) 2015-09-21 2024-05-07 Monolithic 3D Inc. Method to produce a multi-level semiconductor memory device and structure
US10522225B1 (en) 2015-10-02 2019-12-31 Monolithic 3D Inc. Semiconductor device with non-volatile memory
US11991884B1 (en) 2015-10-24 2024-05-21 Monolithic 3D Inc. 3D semiconductor device and structure with logic and memory
US10847540B2 (en) 2015-10-24 2020-11-24 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11114464B2 (en) 2015-10-24 2021-09-07 Monolithic 3D Inc. 3D semiconductor device and structure
US10418369B2 (en) 2015-10-24 2019-09-17 Monolithic 3D Inc. Multi-level semiconductor memory device and structure
US11296115B1 (en) 2015-10-24 2022-04-05 Monolithic 3D Inc. 3D semiconductor device and structure
US11114427B2 (en) 2015-11-07 2021-09-07 Monolithic 3D Inc. 3D semiconductor processor and memory device and structure
US11937422B2 (en) 2015-11-07 2024-03-19 Monolithic 3D Inc. Semiconductor memory device and structure
US9960769B2 (en) 2015-12-17 2018-05-01 Nxp B.V. Power-domain optimization
US9852859B2 (en) * 2015-12-28 2017-12-26 Qualcomm Incorporated Adjustable power rail multiplexing
CN106936422B (zh) * 2015-12-30 2022-12-30 格科微电子(上海)有限公司 电平转换电路
US9514264B1 (en) 2016-01-05 2016-12-06 Bitfury Group Limited Layouts of transmission gates and related systems and techniques
US9645604B1 (en) 2016-01-05 2017-05-09 Bitfury Group Limited Circuits and techniques for mesochronous processing
US9660627B1 (en) 2016-01-05 2017-05-23 Bitfury Group Limited System and techniques for repeating differential signals
US9614526B1 (en) 2016-02-09 2017-04-04 Nxp B.V. Power-domain assignment
US9960670B2 (en) * 2016-03-11 2018-05-01 Nxp B.V. Apparatus for charge recycling
EP3437180A1 (en) * 2016-03-29 2019-02-06 Hiensch Innovations B.V. Switching power converter system
US10211815B2 (en) * 2016-04-22 2019-02-19 Nxp Usa, Inc. Systems and methods for supplying different voltage levels with shared current
US9712168B1 (en) 2016-09-14 2017-07-18 Qualcomm Incorporated Process variation power control in three-dimensional (3D) integrated circuits (ICs) (3DICs)
US11251149B2 (en) 2016-10-10 2022-02-15 Monolithic 3D Inc. 3D memory device and structure
US11711928B2 (en) 2016-10-10 2023-07-25 Monolithic 3D Inc. 3D memory devices and structures with control circuits
US11930648B1 (en) 2016-10-10 2024-03-12 Monolithic 3D Inc. 3D memory devices and structures with metal layers
US11329059B1 (en) 2016-10-10 2022-05-10 Monolithic 3D Inc. 3D memory devices and structures with thinned single crystal substrates
US11869591B2 (en) 2016-10-10 2024-01-09 Monolithic 3D Inc. 3D memory devices and structures with control circuits
US11812620B2 (en) 2016-10-10 2023-11-07 Monolithic 3D Inc. 3D DRAM memory devices and structures with control circuits
US10158292B2 (en) 2017-01-23 2018-12-18 Nxp B.V. Power configuration
US10128835B2 (en) * 2017-02-20 2018-11-13 Stmicroelectronics International N.V. Aging tolerant I/O driver
US10069410B1 (en) 2017-02-23 2018-09-04 Nxp Usa, Inc. Multi-level power-domain voltage regulation
CN107947566A (zh) * 2017-12-21 2018-04-20 北京比特大陆科技有限公司 串联供电电路、方法及计算设备
CN110112905A (zh) * 2018-02-01 2019-08-09 台达电子企业管理(上海)有限公司 主板上芯片供电系统
CN108415320B (zh) * 2018-02-13 2021-06-29 深圳比特微电子科技有限公司 供电电路、电路板以及虚拟数字币挖矿机
US10270448B1 (en) 2018-05-16 2019-04-23 Nxp B.V. Level shifter circuit with transistor drive strength variation compensation
US10277226B1 (en) * 2018-06-11 2019-04-30 Semiconductor Components Industries, Llc Voltage translator device
EP3834287A1 (en) * 2018-08-06 2021-06-16 INTEL Corporation Multi-voltage domain actuator signal network
US11018156B2 (en) 2019-04-08 2021-05-25 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11763864B2 (en) 2019-04-08 2023-09-19 Monolithic 3D Inc. 3D memory semiconductor devices and structures with bit-line pillars
US11296106B2 (en) 2019-04-08 2022-04-05 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11158652B1 (en) 2019-04-08 2021-10-26 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US10892016B1 (en) 2019-04-08 2021-01-12 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11587853B2 (en) 2019-09-03 2023-02-21 Mediatek Inc. Semiconductor devices having a serial power system
CN114204774A (zh) * 2020-08-31 2022-03-18 北京比特大陆科技有限公司 跨电压域的供电电路和印刷电路板

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101256833A (zh) * 2007-02-26 2008-09-03 株式会社瑞萨科技 半导体存储器件
CN101322178A (zh) * 2006-01-20 2008-12-10 索尼株式会社 显示器件和电子装置

Family Cites Families (60)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2573821B2 (ja) 1984-05-14 1997-01-22 セイコーエプソン株式会社 電圧変換回路
US4752699A (en) 1986-12-19 1988-06-21 International Business Machines Corp. On chip multiple voltage generation using a charge pump and plural feedback sense circuits
JP2944243B2 (ja) 1991-04-15 1999-08-30 日本電気株式会社 半導体集積回路
US5581506A (en) 1994-06-03 1996-12-03 Matsushita Electric Industrial Co., Ltd. Level-shifter, semiconductor integrated circuit, and control methods thereof
EP0693819B1 (de) 1994-07-18 1998-01-07 Siemens Aktiengesellschaft Gleichstromumrichter mit Strombegrenzung
JP3300593B2 (ja) * 1995-06-15 2002-07-08 株式会社東芝 半導体集積回路装置
JPH11205113A (ja) 1998-01-09 1999-07-30 Mitsubishi Electric Corp スイッチング回路およびスイッチドキャパシタフィルタ
US5897040A (en) 1998-04-21 1999-04-27 Ward; Rod R. Cellular telephone motorcycle mounting apparatus
US6147540A (en) 1998-08-31 2000-11-14 Motorola Inc. High voltage input buffer made by a low voltage process and having a self-adjusting trigger point
US6304068B1 (en) 2000-04-20 2001-10-16 City University Of Hong Kong Bi-directional switched-capacitor based voltage converter
JP3581955B2 (ja) 2000-07-10 2004-10-27 株式会社 沖マイクロデザイン インバータ回路
US6351173B1 (en) 2000-08-25 2002-02-26 Texas Instruments Incorporated Circuit and method for an integrated level shifting latch
US6204141B1 (en) 2000-09-13 2001-03-20 Taiwan Semiconductor Mfg. Co. Ltd. Method of manufacturing a deep trench capacitor
JP2002197881A (ja) * 2000-12-27 2002-07-12 Toshiba Corp レベルシフタ及びレベルシフタを備えた半導体記憶装置
US6600220B2 (en) 2001-05-14 2003-07-29 Hewlett-Packard Company Power distribution in multi-chip modules
US6657420B1 (en) 2001-10-19 2003-12-02 National Semiconductor Corporation Accurate ultra-low current generator
US6646425B2 (en) 2002-02-21 2003-11-11 Texas Instruments Incorporated Multi-cell voltage regulator and method thereof
WO2003073250A2 (en) 2002-02-25 2003-09-04 Molex Incorporated Electrical connector equipped with filter
TWI280743B (en) 2002-06-03 2007-05-01 Via Tech Inc Inverter circuit structure
JP2004047810A (ja) 2002-07-12 2004-02-12 Renesas Technology Corp 半導体集積回路
DE10246083B3 (de) * 2002-09-27 2004-03-04 Alpha Microelectronics Gmbh Schaltungsanordnung zur Überbrückung hoher Spannungen mit einem Schaltsignal
EP1623349B1 (en) 2003-05-07 2018-01-24 Conversant Intellectual Property Management Inc. Managing power on integrated circuits using power islands
US7329968B2 (en) * 2003-05-08 2008-02-12 The Trustees Of Columbia University In The City Of New York Charge-recycling voltage domains for energy-efficient low-voltage operation of digital CMOS circuits
JP4383159B2 (ja) 2003-12-25 2009-12-16 Necエレクトロニクス株式会社 チャージポンプ回路
US8324667B2 (en) 2004-01-05 2012-12-04 International Business Machines Corporation Amplifiers using gated diodes
US7239194B2 (en) 2004-03-25 2007-07-03 Integral Wave Technologies, Inc. Trench capacitor power supply system and method
US7190210B2 (en) 2004-03-25 2007-03-13 Integral Wave Technologies, Inc. Switched-capacitor power supply system and method
US7129751B2 (en) 2004-06-28 2006-10-31 Intel Corporation Low-leakage level shifter with integrated firewall and method
US7116594B2 (en) 2004-09-03 2006-10-03 International Business Machines Corporation Sense amplifier circuits and high speed latch circuits using gated diodes
US20060071650A1 (en) 2004-09-30 2006-04-06 Narendra Siva G CPU power delivery system
US7315463B2 (en) 2004-09-30 2008-01-01 Intel Corporation Apparatus and method for multi-phase transformers
US7698576B2 (en) 2004-09-30 2010-04-13 Intel Corporation CPU power delivery system
WO2006045415A1 (en) * 2004-10-22 2006-05-04 Aleris Aluminum Koblenz Gmbh Tube made of a profile rolled metal product and method of producing the same
US7257723B2 (en) 2005-01-07 2007-08-14 Atheros Communications, Inc. Reducing power consumption in embedded systems by controlling voltage based on system state and partition designation
US7230455B2 (en) 2005-02-28 2007-06-12 International Business Machines Corporation Logic circuits utilizing gated diode sensing
US7564263B2 (en) 2005-04-21 2009-07-21 Supertex, Inc. High-speed logic signal level shifter
DE102005038231B3 (de) * 2005-08-12 2007-04-12 Infineon Technologies Ag Verfahren und Vorrichtung zum Einschalten einer Spannungsversorgung einer Halbleiterschaltung und entsprechende Halbleiterschaltung
JP4758726B2 (ja) * 2005-10-19 2011-08-31 パナソニック株式会社 レベルシフト回路
US8085524B2 (en) 2005-11-08 2011-12-27 Ipdia Integrated capacitor arrangement for ultrahigh capacitance values
US7268400B2 (en) 2006-01-26 2007-09-11 International Business Machines Corporation Triple-well CMOS devices with increased latch-up immunity and methods of fabricating same
US7518481B2 (en) 2006-06-30 2009-04-14 Intel Corporation Slotted magnetic material for integrated circuit inductors
WO2008032425A1 (fr) * 2006-09-15 2008-03-20 Mitsubishi Electric Corporation Appareil convertisseur de courant cc/cc
TWI370515B (en) 2006-09-29 2012-08-11 Megica Corp Circuit component
US7581198B2 (en) 2006-10-07 2009-08-25 Active-Semi, Inc. Method and system for the modular design and layout of integrated circuits
US8327158B2 (en) 2006-11-01 2012-12-04 Texas Instruments Incorporated Hardware voting mechanism for arbitrating scaling of shared voltage domain, integrated circuits, processes and systems
TWI319886B (en) 2006-12-19 2010-01-21 De-coupling capacitor circuit
US7342389B1 (en) 2007-01-09 2008-03-11 Diodes, Inc. High efficiency charge pump DC to DC converter circuits and methods
US7466617B2 (en) 2007-01-16 2008-12-16 International Business Machines Corporation Multi-port dynamic memory structures
US7696735B2 (en) 2007-03-30 2010-04-13 Intel Corporation Switched capacitor converters
US20090033155A1 (en) 2007-06-08 2009-02-05 Renesas Technology Corp. Semiconductor integrated circuits
JP2009016776A (ja) * 2007-06-08 2009-01-22 Renesas Technology Corp 半導体集積回路
US7609114B2 (en) 2007-09-04 2009-10-27 Upi Semiconductor Corporation Voltage generating apparatus and methods
US20090103382A1 (en) 2007-10-18 2009-04-23 Wing Kin Luk Gated Diode Sense Amplifiers
US7768309B2 (en) * 2007-12-03 2010-08-03 Luich Thomas M Low-noise PECL output driver
US7791124B2 (en) 2008-05-21 2010-09-07 International Business Machines Corporation SOI deep trench capacitor employing a non-conformal inner spacer
US7750717B2 (en) * 2008-07-25 2010-07-06 Texas Instruments Incorporated Single supply level shifter circuit for multi-voltage designs, capable of up/down shifting
US7880526B2 (en) * 2008-08-11 2011-02-01 Infineon Technologies Ag Level Shifter, standard cell, system and method for level shifting
US8248152B2 (en) 2009-02-25 2012-08-21 International Business Machines Corporation Switched capacitor voltage converters
US7944307B2 (en) * 2009-03-19 2011-05-17 Analog Devices, Inc. Wideband RF amplifiers
JP4816774B2 (ja) 2009-07-21 2011-11-16 セイコーエプソン株式会社 容量性負荷駆動回路、液体噴射装置、および印刷装置

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101322178A (zh) * 2006-01-20 2008-12-10 索尼株式会社 显示器件和电子装置
CN101256833A (zh) * 2007-02-26 2008-09-03 株式会社瑞萨科技 半导体存储器件

Also Published As

Publication number Publication date
CN102362266A (zh) 2012-02-22
US8754672B2 (en) 2014-06-17
US20120169319A1 (en) 2012-07-05
US20100259299A1 (en) 2010-10-14
WO2010120412A1 (en) 2010-10-21
EP2419832A4 (en) 2014-06-11
TW201107956A (en) 2011-03-01
US8174288B2 (en) 2012-05-08
EP2419832A1 (en) 2012-02-22

Similar Documents

Publication Publication Date Title
CN102362266B (zh) 具有叠置式电压域的电压转换和集成电路
US7061299B2 (en) Bidirectional level shifter
CN102334164B (zh) 开关电容器电压转换器
JP5112927B2 (ja) スイッチギア・セル、及びこのようなスイッチギア・セルを備え、複数の電圧レベルをスイッチングするためのコンバータ回路
Kudva et al. Fully integrated capacitive DC–DC converter with all-digital ripple mitigation technique
CN102684674B (zh) 电平移位器设计
US9263935B2 (en) Charge and discharge signal circuit and DC-DC converter
EP1384325B1 (en) Resonant logic driver circuit
US8884662B2 (en) Method for charge sharing/reuse of electronic circuits
CN102158217B (zh) 电路装置、电子设备以及电源供给方法
US10348300B2 (en) Multi-level adiabatic charging methods, devices and systems
JP4027936B2 (ja) 半導体装置
WO2002056471A1 (en) Low-loss capacitance driver circuit
CN101390352B (zh) 使用单向强电流的双输出差分线路驱动器
Li et al. Switched-capacitor step-down rectifier for low-voltage power conversion
US8643340B1 (en) Powering a circuit by alternating power supply connections in series and parallel with a storage capacitor
CN108832913A (zh) 一种具有32级分辨率的低功耗线性插值电路
US9760377B2 (en) Circuit for increasing voltage swing of a local oscillator waveform signal
CN110932705A (zh) 一种电源轨切换电路
JP6561842B2 (ja) 信号電位変換回路
CN105048998A (zh) 冗余时钟转变容限锁存电路
US8373512B2 (en) Oscillator device and methods thereof
Calabrese et al. Integrated Gate Drive Architecture for High Step-down Multiphase Buck Converter
US20080224748A1 (en) Differential latch, differential flip-flop, lsi, differential latch configuration method, and differential flip-flop configuration method
KR20110121236A (ko) 고 전압의 레벨 시프팅을 구현하는 레벨 시프터

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant