CN102280446A - 电源网格的最优化 - Google Patents

电源网格的最优化 Download PDF

Info

Publication number
CN102280446A
CN102280446A CN2011101543957A CN201110154395A CN102280446A CN 102280446 A CN102280446 A CN 102280446A CN 2011101543957 A CN2011101543957 A CN 2011101543957A CN 201110154395 A CN201110154395 A CN 201110154395A CN 102280446 A CN102280446 A CN 102280446A
Authority
CN
China
Prior art keywords
integrated circuit
guide rail
width
guide rails
center
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN2011101543957A
Other languages
English (en)
Inventor
马克·F·特纳
乔纳森·W·伯恩
杰弗里·S·布朗
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Infineon Technologies North America Corp
Original Assignee
Infineon Technologies North America Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Infineon Technologies North America Corp filed Critical Infineon Technologies North America Corp
Publication of CN102280446A publication Critical patent/CN102280446A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/394Routing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5286Arrangements of power or ground buses
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/06Power analysis or power optimisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Geometry (AREA)
  • Theoretical Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Networks & Wireless Communication (AREA)
  • Evolutionary Computation (AREA)
  • General Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

本发明涉及电源网格最优化。在集成电路中的全局配电网络包括第一导电材料层和第二导电材料层。第一导电材料层可以(i)连接到一个或多个电源,并且(ii)被配置为形成网状网络的多个第一导轨。第一导轨可以(a)为集成电路的核心逻辑的一个或多个元件供电,(b)与集成电路的第一轴对准,(c)对一个或多个参数进行配置,使得网状网络从集成电路的周边沿第一轴到集成电路的中心具有均匀电压梯度。第二导电材料层可以(i)连接到一个或多个电源,并且(ii)被配置为形成网状网络的多个第二导轨。第二导轨可以(a)为核心逻辑的一个或多个元件供电,(b)与集成电路的第二轴对准,(c)对一个或多个参数进行配置,使得网状网络从集成电路的周边沿第二轴到集成电路的中心具有均匀的电压梯度。

Description

电源网格的最优化
技术领域
本发明总体上涉及集成电路设计,更具体地说,涉及用于实现电源网格最优化的方法和/或装置。
背景技术
传统的集成电路(IC)设计试图尽可能高效率地从封装脚向晶体管输送电能并且从封装脚接地到晶体管。配电网络应当具有最小的电压变化和高载流能力。还应提供用于信号路由的间隔,并且需要在作为配电网络的相同金属层上连接。使用大量金属来形成配电网络实现了前两个目的(即,最小的电压变化和高载流能力)。然而,前两个目的的解决经常以牺牲第三个目的(即,信号路由)为代价。
传统布局和布线CAD工具使用规则间隔且宽度相同的电源网格。规则间隔且宽度相同的电源网格易于实现。规则间隔且宽度相同的电源网格可以使用与设计要求同样多或同样少的用于电源和地线布线的金属。通过选择宽度相同和间距相同的金属以将在电源与IC上的晶体管之间提供适当的低电阻。
局部电源连接必须是一致的,因为布置在附近的电路更有可能彼此进行通信。随着电路变得越来越接近,在电路的各个电源之间的电压匹配则变得越来越重要。规则的电源网格有助于电压匹配,因为规则的电源网格可以提供无间断的平滑的电压梯度。然而,具有预布线电源网格的预布线电路和仅在周边附近的电源连接可以形成间断。如果将用于预布线电路的信号接口设计为对由于电源电压水平所导致的定时不准确是稳健的,则间断的形成则是较不重要的。
封装脚电源和地线布局也必须对PC板设计者与IC设计者的需要进行平衡。通常根据所选择的封装技术来进行折中。当使用导线接合封装时,电源连接线和接地线从IC的边缘延伸并在整个IC上提供电源连接线和接地线。在倒装芯片封装中,电源连接线和接地线可以在晶片更中心的位置进行连接。然而,再分布层却会带来可以使电源连接线中的对称性和规则性消失的限制因素。
芯片上规则配电网格以及不规则布置的点源(即,电源和地线IO连接线)的使用可确保在整个晶片上的电源和接地电压的不规则性。如果将IC的晶体管设计成均匀布置的电能消耗品,则使用传统导线接合封装的IC将在晶片的中部具有电压降。仅在周边附近的点上保持该电压。可以将电压降看作是来自在网络边缘附近的一些点的网状网络。
传统电源和地线布线使用非常规则的网格。然而,电源网状网络(即,网格)中的电流分析示出电流从在IC的中部几乎为零以指数方式增加至在电源IO连接线处的最大值。在IC上的金属布线具有一定量的电阻。由金属布线的电阻所造成的影响不能完全消除。由于高电流,在电源连接线附近出现大量的总电压降。
期望具有一种用于对电源网格进行最优化的方法和/或装置,其减小金属电阻对电源网格的影响。
发明内容
本发明涉及一种在包含第一导电材料层和第二导电材料层的集成电路中的全局配电网络。第一导电材料层可以(i)连接到一个或多个电源,并且(ii)被配置为形成网状网络的多个第一导轨。第一导轨可以(a)向集成电路的核心逻辑的一个或多个元件供电,(b)与集成电路的第一轴对准,(c)对一个或多个参数进行配置,使得网状网络从集成电路周边沿第一轴到集成电路的中心具有均匀的电压梯度。第二层导电材料可以(i)连接到一个或多个电源,并且(ii)被配置为形成网状网络的多个第二导轨。第二导轨可以(a)向核心逻辑的一个或多个元件供电,(b)与集成电路的第二轴对准,(c)对一个或多个参数进行配置,使得网状网络从集成电路周边沿第二轴到集成电路的中心具有均匀的电压梯度。
本发明的目的、特征和优点包括提供一种用于对集成电路的全局电源网格进行最优化的方法和/或装置,其可以(i)增加有效的电源布线密度,(ii)减小在电源附近的电源布线电阻,(iii)考虑电流在电源附近以指数方式增加,(iv)考虑在信号路由可能更密集并且可能需要更多的布线资源处(例如,在IC的中部而不是接近IC的边缘)的信号路由标准,(v)考虑在IC的每个区域的最大电流冲击需求,(vi)考虑局部电源电压降,使得电源代表具有最小间断的平滑梯度,(vii)关于金属化密度解决配电,(viii)使用在IC的边缘附近的用于配电的信号路由资源,(ix)使用局部电源网格来改进由自动布局和布线工具所使用的信号路由资源,(x)提供用于使核心逻辑的IR(电压)降最小化的最佳电源密度的系统描述,(xi)不受电路布局的限制,和/或(xii)通过加入更宽或更密间隔的电源布线(电流更大)来减轻电源导轨中的电迁移问题。
附图说明
从以下详细描述和所附权利要求以及附图,本发明的这些和其它目的、特征和优点将变得显而易见,其中:
图1是IC电源的通用一维模型的示图;
图2是根据本发明实例实施方式的具有不同宽度的电源导轨的实例电源网状网络的示图;
图3是多个金属层的实例实施方式的截面图;
图4是根据本发明另一实例实施方式的具有不同间距的电源导轨的实例电源网状网络的示图;
图5是示出利用本发明实施方式叠加两个或多个较简单的电源网状网络以形成更复杂配电网络的示图;
图6是根据本发明又一实例实施方式的实例电源网状网络的示图,其中电源网络的垂直电源导轨和/或水平电源导轨中的一些不在集成电路晶片的全部表面上延伸;
图7是根据本发明再一实施方式对集成电路的电源网格进行最优化的方法的流程图。
具体实施方式
本发明总体上提供一种用于实施不规则间距和/或不规则尺寸(例如,宽度)的电源布线以对向集成电路(IC)中的核心逻辑供电的全局电源布线资源进行最优化的方法。集成电路也可以称为芯片或晶片。本发明可以对信号路由资源、局部和/或全局IR降、和/或IC的可变脚位置之间相互矛盾的需求进行平衡。通常,增加电源连接线附近的电源布线宽度有助于改善局部和全局IR降。在一个实例中,本发明可以提供用于使集成电路的核心逻辑的全局IR(电压)降最小化的最佳情况的全局电源密度的系统描述。在一个实例中,可以提供在无需进行代价高(例如,关于时间和计算能力)的二次分析并且无需基于行的标准单元或者门阵列电路布局的系统描述。
根据本发明的全局电源布线的优化通常不受电路布局的限制。除集成电路的一个或多个底层基于行的电源网络之外,通常实施根据本发明的全局电源布线。基于行的底层电源网格可被配置为适应特定集成电路设计的特定单元(例如,标准单元、门阵列等)的尺寸和/或布局中的变化。全局电源布线通常连接(例如,使用孔)到基于行的底层电源网格。基于行的低水平的电源网格通常将电力从全局电源布线传输至核心电路的各种组件。
通常,关于金属化密度,可以对IC的核心逻辑的全局配电进行处理。根据本发明的金属化密度法,可以提供可变间距和/或可变宽度方法的简单开发。根据本发明的金属化密度法,也可以提供在整个IC上可是不连续的局部电源网格。局部电源网格可以改进在IC的中心由自动布局和布线工具所使用的信号路由资源。局部电源网格也可以利用在集成电路周围用于配电的信号路由资源。然而,对用于电源布线的金属的使用存在着一些实际限制。信号轨道也可以通过金属布线进行连接。
低水平电源网格(也称为“局部电源网状网络”)可以是使相应行(例如,金属层1和/或金属层2)中的单元之间的电源和地线相互连接的底层金属层。许多局部电源网状网络设计通常具有规则布局以允许单元位于均匀隔开的行中。行可以仅通过布局来适应自动电源和地线连接。其它局部电源网状网络可以具有更复杂的布局以符合特定用途的标准。
全局电源布线(也称为“全局电源网状网络”)通常包括在芯片上的所有其它电源布线。全局电源网格可以包括但不限于所有金属层,并且包括用于电源和接地的最上层。芯片设计中所使用的金属层的数量可以根据许多因素(例如,成本、布线密度标准等)而发生变化。通常,可以将最上面的金属层制造地比下面的金属层厚从而提供低电阻布线。通常,将最上面的金属层昂贵地用于全局电源布线。中间的金属层也可以用于大量的全局电源布线。全局电源网状网络和局部电源网状网络通常以规则的间隔与金属间层孔叠板相连接,金属间层使IC上的所有电源和地线相互连接。
在一些实施方式中,所有其他金属层可以具有相对于IC的边缘的主方向(例如,水平方向和垂直方向)。例如,偶数的金属层可以主要地垂直地布线而奇数的层可以平行地布线,反之亦然。在一些实施方式中,如果全局布线包括多个金属层,那么水平金属层可以相互复制并且垂直层可以相互复制。可以按规则的间隔来布置孔叠板,从而使在不同层上的电源轨道和地线轨道相互连接。即使仅将最上面的一些(例如,两层)层用于所有的全局电源布线,也可以以规则的间隔从全局电源网格向下至局部电源布线形成孔叠板,从而使IC上的所有电源和地线相互连接。
参照图1,示出了说明电源导轨50的通用一维模型的实例的示图。电源导轨50显示在电源附近实施电源网格的密集金属布线。电源导轨50可以设计成包括电阻器R0-R3和电流吸收器I0-I3。经过电源导轨50的每个部分的局部IR降通常从电源进一步增加。在表1中示出了多种金属电阻R0-R3的IR降的实例:
表1
Figure BDA0000067263150000061
所有三行数据可以代表相同量的全部金属,使得比较具有共同的基线。顶行的值通常说明均具有相同电阻值的规则间距、规则宽度的电源导轨的实例。中间行的值可以说明随机电阻值的实例。使用随机电阻值的总IR降可是良好的。然而,由于R1与R2电阻之间的不连续性,因此随机电阻值的局部IR降可以高于顶行。底行的值通常说明一组最优化的电阻。该组最优化的电阻可以在很大程度上改进局部IR降,同时很好地将总IR降很好地保持低于规则间距/宽度行。
列R0中的值表示电阻器R0的实例金属电阻。列R1中的值表示电阻器R1的实例金属电阻。列R2中的值表示电阻器R2的实例金属电阻。列R3中的值表示电阻器R3的实例金属电阻。总IRμV列中的值表示在整个电源导轨50上R0-R3的特定值的总IR降。局部IRμV列中的值表示在任意两个相邻的电流吸收器之间(例如,在I0与I1之间、在I1与I2之间、在I2与I3之间等)的Vdd的最差变化(例如,最高的IR降)。
表1中的总IR和局部IR的值示出每个电流吸收器I0-I3获取相同的1μA的实例。如果电源导轨50的每段(例如,电阻器R0-R3)的IR降基本上相等,那么可以使最坏情况的IR降最小化。例如,表1中底行的值说明通过调节段金属电阻使得每个局部IR降基本上等于常数而使最坏情况的IR降最小化。表1中顶行的值代表传统的规则间隔、相同宽度的电源网格的IR降。表1的顶行与表1的底行的比较示出可以通过根据本发明实施的电源网格来改进总IR降以及各局部短线的最坏的IR降。底行通常表示这三行中的良好折中。底行中的局部IR降可以较低,使得电路的局部性能匹配。越来越高的局部IR降可以最终导致触发器扫描链和/或普通逻辑电路中的保持违反。
将每个电阻元件的IR降保持恒定可以等同于保持以下的恒定因子:
电压降=电流密度×长度
IR降的问题可以通过使接近电源的每个电源段中的总电流以指数方式增加(例如,对于越接近电源的段,段中的总电流以指数方式增大)来解决。
参照图2,示出了根据本发明的实施方式的集成电路102的电源网格100的示图。电源网格100通常实现集成电路102的全局配电网络。电源网格100可以包括多个轨道(例如,导线、导轨等)100a-110n以及多个轨道(例如,导线、导轨等)120a-120n。在一个实例中,轨道110a-110n可以垂直于轨道102a-120n。轨道110a-110n可以与电路102的第一轴(例如,垂直轴)对准,轨道102a-120n可以与电路102的第二轴(例如,水平轴)对准。轨道110a-110n可以包含导电材料(例如,金属Cu、Ag、Au、Al、W等)。轨道110a-110n可被配置为电路102的垂直电源导轨。轨道120a-120n可以包含导电材料。轨道120a-120n可被配置为电路102的水平电源导轨。垂直电源导轨110a-110n和水平电源导轨120a-120n可以连接到一个或多个电源。
在一个实例中,从电路102的外缘到电路102的中心可以均匀隔开垂直电源导轨110a-110n。每个垂直电源导轨110a-110n的宽度(例如,W)可以根据特定导轨关于电路102的中心和边缘的相对位置而变化。在一个实例中,每个垂直电源导轨110a-110n的宽度可朝着电路102的中心变小。例如,垂直电源导轨110a的宽度可以大于垂直电源导轨110b的宽度,垂直电源导轨110b的宽度可以大于垂直电源导轨110c的宽度等,直至大于最细的垂直电源导轨110n的宽度。通常,具有最小宽度的垂直电源导轨可以最接近电路102的中心。然而,可以改变每个垂直电源导轨110a-110n的具体宽度以符合具体IC设计的设计标准。
在一个实例中,可以从电路102的外缘到电路102的中心均匀地隔开水平电源导轨120a-120n。每个水平电源导轨120a-120n的宽度可根据特定导轨关于电路102的中心和边缘的相对位置而变化。在一个实例中,每个水平电源导轨120a-120n的宽度朝着电路102的中心变小。例如,水平电源导轨102a的宽度可以大于水平电源导轨120b的宽度,水平电源导轨120b的宽度可以大于水平电源导轨120c的宽度等,直至大于最细的水平电源导轨120n的宽度。通常,具有最小宽度的水平电源导轨可以最接近电路102的中心。然而,可以改变每个水平电源导轨120a-120n的具体宽度以符合具体IC设计的设计标准。垂直电源导轨110a-110n的宽度可以与水平电源导轨120a-120n的宽度相似或者不同。
参照图3,示出了多个金属层的实例实施方式的截面图140。示图140通常说明在基板142上形成的多个金属层(例如,M1至M9)。在该实例中,奇数的金属层可以具有通常水平的(例如,从图的左边到右边)方向。偶数的金属层可以具有通常垂直的(例如,从图内到图外)方向。
局部电源网格144通常包括金属层M1和M2。金属层M1和M2可以包括在库单元(例如,单元146)的定义中。在单元146上面的所有金属层(例如,层M3至M9)可以是全局电源网格148的一部分。
示图140概括地说明7+2金属叠板的实例。7+2金属叠板可以描述具有信号路由的正常厚度的七层金属层(例如,M1至M7)的工艺。对于较低电阻的时钟、电源和全局信号路由轨道,可以将叠板中的最上面两层金属层(例如,M8和M9)制作地相对较厚。
一个或多个单元库可以限定制作在单元中的金属层M1,金属层M1用于局部电源连接线和单元间信号路由。也可以以规则的垂直间隔在单元库中限定金属层M2以完成局部电源网状网络(或局部电源网格)和单元间信号路由。在金属层M2上面的所有金属层可以用于建立全局电源网状网络148。如图所示,可以将七层金属层交织在电源/地线网格中。在一些实施方式中,全局电源网状网络148的底层(例如,金属层M3至M5)可以被去除(除了将偶然规则的孔叠板实施为矩形的相邻的金属层)或者减小其宽度,从而在底层提供更多的信号路由资源。例如,在该实例中,折中方法可以是(i)水平地使用金属层M7和M9以及(ii)垂直地将金属层M6和M8用作主全局电源网状网络150(例如,见图2)。可以在金属层M3至M5中形成偶然孔叠板,从而一直穿过局部电源网状网络144中的金属层M1和M2向下打孔。
参照图4,示出了说明根据本发明实施方式的全局电源网格200的图示。网格200可以实施用于向集成电路202的核心逻辑供电的全局配电网络。网格200可以包括多个轨道(例如,导线、导轨等)201a-210n和多个轨道(例如,导线、导轨等)220a-220n。在一个实例中,轨道201a-210n可以垂直于轨道220a-220n。轨道210a-210n可以与电路202的第一轴(例如,垂直轴)对准,轨道220a-220n可以与电路202的第二轴(例如,水平轴)对准。轨道210a-210n可以包含用作电源网格200的垂直电源导轨的导电材料。轨道220a-220n可以包含用作电源网格200的水平电源导轨的导电材料。垂直电源导轨210a-210n和水平电源导轨220a-220n可以连接到一个或多个电源。
从电路202的外缘到电路202的中心,垂直电源导轨210a-210n的间距(例如,S)可以不同。在一个实例中,每个垂直电源导轨210a-210n的宽度可以是相同的。在一个实例中,每个垂直电源导轨210a-210n之间的间距可以朝着电路202的中心而增加。例如,垂直电源导轨210a与垂直电源导轨210b之间的间距可以小于垂直电源导轨210b与垂直电源导轨210c之间的间距等,直至小于垂直电源导轨210n-l与垂直电源导轨210n之间的最大间距。通常,垂直电源导轨210a-210n之间的最大间距可以最接近电路202的中心。然而,可以改变每个垂直电源导轨210a-210n之间的具体间距以符合具体IC设计的设计标准。
从IC的外缘到IC202的中心,水平电源导轨220a-220n的间距可以不同。在一个实例中,每个水平电源导轨220a-220n的宽度可以是相同的。在一个实例中,每个水平电源导轨220a-220n之间的间距可以朝着电路202的中心而变大。例如,水平电源导轨220a与水平电源导轨220b之间的间距可以小于水平电源导轨220b与水平电源导轨220c之间的间距等,直至小于水平电源导轨220n-1与水平电源导轨220n之间的最大间距。通常,水平电源导轨220a-220n之间的最大间距可以最接近电路202的中心。然而,可以根据具体IC设计的标准来确定每个水平电源导轨220a-220n之间的实际间距。垂直电源导轨210a-210n之间的间距可以与水平电源导轨220a-220n之间的间距相似或者不同。
参照图5,示出了根据本发明实施方式的电源网格300的图示。在所示的实例中,电源网格300包括利用本发明实施方式的两个或多个全局配电网络(例如,200和200’)的叠加以形成更复杂的全局配电网络。形成电源网格300的两个或多个全局配电网络可以包含不同宽度的电源导轨、不同间距的电源导轨、和/或具有不同宽度和不同间距的组合的电源导轨。当在集成电路的边缘存在许多资源时(诸如在导线接合封装中),两个或多个全局配电网络的叠加可以简化设计过程。本文概括描述的利用叠加方法实施全局电源网格,可以提供简化不规则网格的整体结构的优点。因此,可以在布局过程中使电源或接地垫移动而不必改变电源网格。
参照图6,示出了根据本发明实施方式的电源网格500的图示。电源网格500通常包括全局配电网络。电源网格500可以包括多个轨道(例如,导线、导轨等)520a-520n。在一个实例中,轨道510a-510n可以垂直于轨道520a-520n。轨道510a-510n可以与晶片的第一轴(例如,垂直轴)对准,轨道520a-520n可以与晶片的第二轴(例如,水平轴)对准。轨道510a-510n可以包含用作电源网格500的垂直电源导轨的导电材料。轨道520a-520n可以包含用作电源网格500的水平电源导轨的导电材料。垂直电源导轨510a-510n和水平电源导轨520a-520n可以连接到一个或多个电源。
在一个实例中,可以实现具有非均匀宽度和/或非均匀间距的垂直电源导轨510a-510n和水平电源导轨520a-520n。每个垂直电源导轨510a-510n之间的间距和/或宽度可以与每个水平电源导轨520a-520n之间的间距和/或宽度相同或不同。垂直电源导轨510a-510n可以或者可以不在IC的晶片的全部表面上延伸。水平电源导轨520a-520n可以或者不可以在IC的晶片的全部表面上延伸。例如,电源网格500可以包括不同长度的电源导轨。电源导轨510a-510n和/或520a-520n可以不完全地在整个晶片表面上布线。垂直电源导轨510a-510n中的一些可以在整个晶片表面(例如,510b)上延伸。其它的垂直电源导轨(例如,510a、510n等)可以在整个晶片表面(例如,510b)上延伸。其它的垂直电源导轨(例如,510a、510n等)仅可以在晶片上延伸指定距离并且不可以在整个晶片上延伸。水平电源导轨520a-520n的一些可以在整个晶片表面(例如,520b)上延伸。其它的水平电源导轨(例如,520a、520n等)可以仅可以在晶片上延伸指定距离并且不可以在整个晶片上延伸。没有在整个晶片表面上延伸的垂直电源导轨510a-510n和水平电源导轨520a-520n可以被称为短线。短线也可以是用于改变电源网格密度的有用工具。
网格500可以通过为特定用途订制信号路由和配电来进一步适应某些IC设计。可以基于包括一个或多个短线和/或电源导轨的所订制的配电网络来适应特定的单元布局。
参照图7,示出了说明根据本发明的实例过程(或方法)600的流程图。过程600通常包括步骤(或状态)610、步骤(或状态)620、步骤(或状态)630、步骤(或状态)640、步骤(或状态)650、步骤(或状态)660、步骤(或状态)670、步骤(或状态)680、步骤(或状态)690、步骤(或状态)700、步骤(或状态)710、步骤(或状态)720、步骤(或状态)730、和步骤(或状态)740。
步骤610可以包括过程600的开始点。步骤620可以包括创建IC的电流密度图。步骤630可以包括生成IC的电源网格的传统电源网格(或籽晶点)。步骤640可以包括选择在步骤620中所生成的电流密度图。步骤650可以包括选择在步骤630中所生成的电源网格。步骤660可以包括生成和/或更新根据本发明的不规则电源网格。步骤670可以包括生成来自步骤650的电源网格的IR降和/或路由分析。步骤680可以包括生成来自步骤650的不规则电源网格的IR降和/或路由分析。步骤690可以包括对来自步骤620-680的电源网格和分析进行比较以确定哪个电源可能更有效的确定步骤。如果步骤690确定可以生成更有效的电源网格,则过程600继续到步骤700。否则,过程600在步骤740终止。
步骤700可以包括生成电源网格,该电源网格进一步包括电源短线(例如,不在晶片的整个表面上延伸的垂直和/或水平的电源导轨)。步骤710可以包括生成在步骤700中所生成的电源网络的IR降和/或路由分析。步骤720可以对来自步骤620-680和步骤700-710的电源网格和分析进行比较以确定哪个电源网格可能更有效的确定步骤。如果步骤720确定可以生成更有效的电源网格,则过程600继续到步骤730。否则,过程600在步骤740终止。步骤730可以包括确定是否对全部电源网格或亚电源网格进行迭代的确定步骤。如果步骤730确定对全部电源网格进行迭代,则过程600继续到步骤620和步骤650。否则,过程600在步骤740终止。步骤740可以包括用于退出过程600的终点。
本发明可以提供可包括增加有效电源布线密度和减小电源布线电阻的优点。本发明也考虑在信号路由可能更密集并且可能需要更多布线资源(例如,在IC的中心而不是接近IC边缘)的区域中的信号路由的需求。本发明也可以通过定制电源导轨的宽度和/或间距来考虑在IC的每个区域的最大电流冲击需求。本发明可以进一步考虑局部电源电压降,使得电源呈现在整个IC上中具有最小间断的平滑梯度。本发明也可以通过加入更宽或更密集间隔的电源布线(其中电流更大)来减轻电源导轨中的电迁移问题。
本发明的电源网格最优化方法也可用于在IC的中心的更多信号路由。通常,可位于接近边缘的任何单元具有与朝向IC的中心而不是在任何其他方向的另一单元进行通信的更大可能性。结果,在IC的中心与接近边缘相比,可以利用更多的信号路由资源。减小分接点之间的变化也可具有减小在逻辑的通信块之间的电源变化的优点。
实现使用普通布局和布线CAD工具的根据本发明的可变密度电源网格可以利用如何生成电源网格的一些知识。大部分工具允许设计者确定宽度和间距以重复通常有规则的电源网格。在大多数情况下,可以对间距和/或宽度进行控制,从而允许对不同宽度或不同间距的电源导轨(或者不同宽度和不同间距的电源导轨的组合)进行布局,如图2和图4所示。本发明也可提供一种方法,该方法开发出对用于使核心逻辑的IR降最小化的同时使代价高的二次分析最小化的最优化电源密度的系统描述。
由图7的示图所执行的功能可以使用下列装置中的一个或多个来实施:传统通用处理器、数字计算机、微处理器、微控制器、RISC(精简指令集计算机)处理器、CISC(复杂指令集计算机)处理器、SIMD(单指令多数据)处理器、信号处理器、中央处理单元(CPU)、算术逻辑单元(ALU)、视频数字信号处理器(VDSP)和/或按照本说明书的教导进行编程的相似的计算机器,这对相关领域的技术人员是显而易见的。有经验的程序员可基于本公开的教导容易地准备适当的软件、固件、编码、例程、指令、操作码、微码、和/或程序模块,这对相关领域的技术人员是显而易见的。通常通过机器执行的一个或多处理器从介质或多个介质执行软件。
本发明也可以通过制备ASIC(专用集成电路)、平台ASIC、FPGA(现场可编程门阵列)、PLD(可编程逻辑器件)、CPLD(复杂可编程逻辑器件)、标准闸门电子组件、RF集成电路(射频集成电路)、ASSP(专用标准产品)或者通过使传统组件电路的适当网络相互连接来实施,如本文所述,其更改对本领域技术人员变得显而易见。
因此,本发明也可以包括计算机产品,其可以是包含指令的存储介质和/或传输介质,该指令可以用于对机器进行编程从而执行根据本发明的一个或多个过程或方法。通过机器执行包含在计算机产品中的指令的以及操作周围电路以将输入数据转换为在存储介质上的一个或多个文件和/或表示一个或多个物理对象或物质的输出信号,诸如音频和/或视频描述。存储介质可以包括但不限于任何类型的磁盘(包括软盘、硬盘驱动器、磁盘、光盘、CD-ROM、DVD和磁光盘)以及电路(诸如ROM(只读存储器)、RAM(随机存取存储器)、EPROM(电可编程序只读存储器)、EEPROM(电可擦除只读存储器)、UVPROM(紫外线可擦处只读存储器)、闪速存储器),磁卡、光卡、和/或适于存储电子指令的任何类型的介质。
本发明的元件可以构成一个或多个设备、单元、组件、系统、机器和/或装置中的部分或全部。设备可以包括但不限于服务器、工作站、存储阵列控制器、存储系统、个人计算机、膝上型计算机、笔记本电脑、掌上电脑、个人数字助理、便携式电子装置、电池供能的装置、机顶盒、编码器、解码器、转码器、压缩器、解压缩器、预处理器、后处理器、发射器、接收器、收发器、密码电路、蜂窝电话、数码相机、定位和/或导航系统、医疗设备、抬头数字显示仪、无线设备、录音机、录放机、录像机、录放机、游戏平台、外围设备和/或多芯片模块。相关领域技术人员应理解,本发明的元件可以在其它类型的装置中实施,以符合特定用途的标准。
虽然已参照本发明的优选实施方式具体示出并描述了本发明,但是本领域的技术人员应理解,在不背离本发明范围的范围下,可以在形式和细节上进行各种改变。

Claims (20)

1.一种在集成电路中的全局配电网络,包括:第一导电材料层,(i)连接至一个或多个电源,并且(ii)被配置为形成网状网络的多个第一导轨;其中,所述第一导轨(a)为所述集成电路的核心逻辑的一个或多个组件供电,(b)与所述集成电路的第一轴对准,以及(c)对一个或多个参数进行配置,使得所述网状网络从所述集成电路的周边沿所述第一轴到所述集成电路的中心具有均匀电压梯度;以及
第二导电材料层,(i)连接至所述一个或多个电源,并且(ii)被配置为形成所述网状网络的多个第二导轨,其中,所述第二导轨(a)为所述核心逻辑的一个或多个组件供电,(b)与所述集成电路的第二轴对准,以及(c)对一个或多个参数进行配置,使得所述网状网络从所述集成电路的周边沿所述第二轴到所述集成电路的中心具有均匀电压梯度。
2.根据权利要求1所述的全局配电网络,其中,(i)所述多个第一导轨的一个或多个参数包含所述多个第一导轨的每个的宽度,(ii)当所述第一导轨位于更接近所述集成电路的中心时,所述多个第一导轨的每个的所述宽度变细,使得位于最接近所述集成电路的中心的第一导轨具有最细的宽度,并且最接近所述集成电路的周边的第一导轨具有最粗的宽度。
3.根据权利要求1所述的全局配电网络,其中,(i)所述多个第二导轨的所述一个或多个参数包含所述多个第二导轨中的每个的宽度,并且(ii)当第二导轨位于更接近所述集成电路的中心时,所述多个第二导轨的每个的所述宽度变细,使得位于最接近所述集成电路的中心的第二导轨具有最细的宽度,并且最接近所述集成电路的周边的第二导轨具有最宽的宽度。
4.根据权利要求1所述的全局配电网络,其中(i)所述多个第一导轨的一个或多个参数包含在所述多个第一导轨的每个之间的间距,并且(ii)在所述多个第一导轨中的每个之间的所述间距向所述集成电路的中心变大,使得位于最接近所述集成电路的中心的第一导轨在所述第一导轨之间具有最大间距,并且最接近所述集成电路的周边的第一导轨在所述第一导轨之间具有最小间距。
5.根据权利要求1所述的全局配电网络,其中(i)所述多个第二导轨的所述一个或多个参数包含在所述多个第二导轨中的每个之间的间距,并且(ii)所述多个第二导轨的每个之间的所述间距向所述集成电路的中心变大,使得位于最接近所述集成电路的中心的第二导轨在所述第二导轨之间具有最大间距,并且最接近所述集成电路的周边的第二导轨在所述第二导轨之间具有最小间距。
6.根据权利要求1所述的全局配电网络,其中,所述一个或多个参数包含所述多个第一导轨、所述多个第二导轨、或者所述多个第一导轨与所述多个第二导轨的组合的金属化密度。
7.根据权利要求1所述的全局配电网络,其中,所述全局配电网络连接至所述集成电路的基于行的底层电源网格。
8.根据权利要求1所述的全局配电网络,其中,(i)所述多个第一导轨具有非均匀的间距、非均匀的宽度、或者非均匀的间距和非均匀的宽度两者,(ii)所述多个第二导轨具有非均匀的间距、非均匀的宽度、或者非均匀的间距和非均匀的宽度两者,(iii)一个或多个所述第一导轨不在所述集成电路的整个表面上延伸,并且(iv)一个或多个所述第二导轨不在所述集成电路的整个表面上延伸。
9.一种用于对在集成电路中的全局配电网络进行优化的方法,包括:
(A)设计第一导电材料层,所述第一导电材料层(i)连接到一个或多个电源,并且(ii)被配置为形成网状网络的多个第一导轨,其中所述第一导轨(a)为所述集成电路的核心逻辑的一个或多个组件供电,并且(b)与所述集成电路的第一轴对准;
(B)设计第二导电材料层,所述第二导电材料层(i)连接到所述一个或多个电源,并且(ii)被配置为形成所述网状网络的多个第二导轨,其中,所述第二导轨(a)为所述核心逻辑的一个或多个组件供电,并且(b)与所述集成电路的第二轴对准;以及
(C)更改(i)所述第一导轨、(ii)所述第二导轨、(iii)或者所述第一导轨与所述第二导轨的组合的一个或多个参数,使得所述网状网络从所述集成电路的周边沿所述第一轴、所述第二轴或者沿所述第一轴和所述第二轴两者到所述集成电路的中心具有均匀的电压梯度。
10.根据权利要求9所述的方法,其中(i)所述多个第一导轨的所述一个或多个参数包含所述多个第一导轨的每个的宽度,并且(ii)当所述第一导轨位于更接近所述集成电路的中心时,所述多个第一导轨的每个的所述宽度变细,使得最接近所述集成电路的中心的第一导轨具有最细的宽度,并且最接近所述集成电路的周边的第一导轨具有最粗的宽度。
11.根据权利要求9所述的方法,其中,(i)所述多个第二导轨的所述一个或多个参数包含所述多个第二导轨的每个的宽度,并且(ii)当所述第二导轨位于更接近所述集成电路的中心时,所述多个第二导轨的每个的所述宽度变细,使得最接近所述集成电路中心的第二导轨具有最细的宽度,并且最接近所述集成电路周边的第二导轨具有最粗的宽度。
12.根据权利要求9所述的方法,其中,(i)所述多个第一导轨的所述一个或多个参数包含在所述多个第一导轨的每个之间的间距,并且(ii)在所述多个第一导轨的每个之间的所述间距向所述集成电路的中心变大,使得位于最接近所述集成电路的中心的第一导轨在所述第一导轨之间具有最大间距,并且最接近所述集成电路的周边的第一导轨在所述第一导轨之间具有最小间距。
13.根据权利要求9所述的方法,其中,(i)所述多个第二导轨的所述一个或多个参数包含在所述多个第二导轨的每个之间的间距,并且(ii)在所述多个第二导轨的每个之间的所述间距向所述集成电路的中心变大,使得最接近所述集成电路的中心的第二导轨在所述第二导轨之间具有最大的间距,并且最接近所述集成电路的周边的第二导轨在所述第二导轨之间具有最小的间距。
14.根据权利要求9所述的方法,其中所述一个或多个参数包含所述多个第一导轨、所述多个第二导轨、或者所述多个第一导轨和所述多个第二导轨的组合的金属化密度。
15.根据权利要求9所述的方法,其中,所述多个第一导轨、所述多个第二导轨、或者所述多个第一导轨和所述多个第二导轨的组合的所述一个或多个参数被更改从而使最坏情况的局部电源电压降最小化。
16.根据权利要求9所述的方法,其中,所述方法还包括:
(D)将两个或多个所述网状网络重叠在一起从而建立组合的网状网络,其中,对来自每个所述网状网络的所述一个或多个参数中的每个进行更改,从而最优化组合的网状网络。
17.根据权利要求9所述的方法,其中,(i)所述多个第一导轨具有非均匀的间距、非均匀的宽度、或者非均匀的间距和非均匀的宽度两者,(ii)所述多个第二导轨具有非均匀的间距、非均匀的宽度、或者非均匀的间距和非均匀的宽度两者,(iii)一个或多个所述第一导轨不在所述集成电路的整个表面上延伸,并且(iv)一个或多个所述第二导轨不在所述集成电路的整个表面上延伸。
18.一种设计工具,包含存储在计算机可读介质上的计算机可执行指令,所述计算机可执行指令被配置为使得计算机执行以下步骤:
(A)接收用于限定第一导电材料层的一个或多个参数的用户输入,所述第一导电材料层(i)连接到一个或多个电源并且(ii)被配置为形成网状网络的多个第一导轨,其中,所述第一导轨(a)为集成电路的核心逻辑的一个或多个组件供电,并且(b)与所述集成电路的第一轴对准;
(B)接收用于限定第二导电材料层的一个或多个参数的用户输入,所述第二导电材料层(i)连接到所述一个或多个电源并且(ii)被配置为形成所述网状网络的多个第二导轨,其中,所述第二导轨(a)为所述核心逻辑的一个或多个组件供电,并且(b)与所述集成电路的第二轴对准;以及
(C)基于所述用户输入对(i)所述第一导轨、(ii)所述第二导轨、(iii)或者所述第一导轨和所述第二导轨的组合的所述一个或多个参数进行更改,使得所述网状网络从所述集成电路的周边沿所述第一轴、所述第二轴、或者沿所述第一轴和所述第二轴到所述集成电路的中心具有均匀的电压梯度。
19.根据权利要求18所述的设计工具,其中,(i)所述多个第一导轨的所述一个或多个参数包含所述多个第一导轨的每个的宽度,并且(ii)当所述第一导轨位于更接近所述集成电路的中心时,所述多个第一导轨的每个的所述宽度变细,使得位于最接近所述集成电路的中心的第一导轨具有最细的宽度,并且最接近所述集成电路的周边的第一导轨具有最粗的宽度。
20.根据权利要求18所述的设计工具,其中(i)所述多个第二导轨的所述一个或多个参数包含所述多个第二导轨的每个的宽度,并且(ii)当所述第二导轨位于更接近所述集成电路的中心时,所述多个第二导轨的每个的所述宽度变细,使得位于最接近所述集成电路的中心的第二导轨具有最细的宽度,并且位于最接近所述集成电路周边的第二导轨具有最粗的宽度。
CN2011101543957A 2010-06-09 2011-06-09 电源网格的最优化 Pending CN102280446A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/796,906 2010-06-09
US12/796,906 US8336018B2 (en) 2010-06-09 2010-06-09 Power grid optimization

Publications (1)

Publication Number Publication Date
CN102280446A true CN102280446A (zh) 2011-12-14

Family

ID=44543001

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2011101543957A Pending CN102280446A (zh) 2010-06-09 2011-06-09 电源网格的最优化

Country Status (6)

Country Link
US (1) US8336018B2 (zh)
EP (1) EP2395444B1 (zh)
JP (1) JP2011258952A (zh)
KR (1) KR101352413B1 (zh)
CN (1) CN102280446A (zh)
TW (1) TWI479629B (zh)

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104716049A (zh) * 2013-12-03 2015-06-17 联发科技股份有限公司 协同设计倒装芯片以及硅中介层的方法
CN108231732A (zh) * 2016-12-12 2018-06-29 台湾积体电路制造股份有限公司 集成电路的电源网
CN109698185A (zh) * 2017-10-20 2019-04-30 智原科技股份有限公司 集成电路的配电网络
CN110619136A (zh) * 2019-06-13 2019-12-27 眸芯科技(上海)有限公司 优化引线键合封装芯片的电压降的方法及应用
CN111443652A (zh) * 2020-03-24 2020-07-24 深圳市紫光同创电子有限公司 Cpld逻辑单元阵列的供电结构
CN111684589A (zh) * 2018-02-02 2020-09-18 Arm 有限公司 配电电路
CN112749526A (zh) * 2019-10-30 2021-05-04 瑞昱半导体股份有限公司 电源轨设计方法、装置及其非瞬时计算机可读介质
WO2021186269A1 (en) * 2020-03-18 2021-09-23 International Business Machines Corporation Interconnection fabric for buried power distribution
WO2021227371A1 (zh) * 2020-05-09 2021-11-18 东科半导体(安徽)股份有限公司 一种提升芯片硬宏供电可靠性的方法
CN114662446A (zh) * 2022-03-29 2022-06-24 东科半导体(安徽)股份有限公司 一种用以减小动态功耗的布线优化方法

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8443306B1 (en) * 2012-04-03 2013-05-14 Taiwan Semiconductor Manufacturing Co., Ltd. Planar compatible FDSOI design architecture
US8914765B2 (en) 2013-01-15 2014-12-16 International Business Machines Corporation Power grid generation through modification of an initial power grid based on power grid analysis
US9000822B2 (en) 2013-04-09 2015-04-07 International Business Machines Corporation Programmable delay circuit
US9419589B2 (en) * 2013-08-16 2016-08-16 Apple Inc. Power source for clock distribution network
US9628059B2 (en) 2015-06-18 2017-04-18 International Business Machines Corporation Fine delay structure with programmable delay ranges
KR102349417B1 (ko) 2015-07-16 2022-01-10 삼성전자 주식회사 전압 강하를 개선할 수 있는 구조를 갖는 반도체 장치와 이를 포함하는 장치
TWI559161B (zh) * 2015-07-24 2016-11-21 財團法人工業技術研究院 產生電源供應網路模型之方法,以及電源供應網路模型分析 方法與裝置
KR102366975B1 (ko) 2015-07-30 2022-02-25 삼성전자주식회사 반도체 장치
WO2017052626A1 (en) * 2015-09-25 2017-03-30 Intel Corporation Power gate with metal on both sides
US9958918B2 (en) 2016-05-23 2018-05-01 Qualcomm Incorporated Systems and methods to separate power domains in a processing device
US10394299B2 (en) 2016-05-23 2019-08-27 Qualcomm Incorporated Systems and methods to separate power domains in a processing device
KR102401577B1 (ko) 2016-06-02 2022-05-24 삼성전자주식회사 집적 회로 및 표준 셀 라이브러리
US10090244B2 (en) 2016-07-27 2018-10-02 Qualcomm Incorporated Standard cell circuits employing high aspect ratio voltage rails for reduced resistance
US10318694B2 (en) 2016-11-18 2019-06-11 Qualcomm Incorporated Adaptive multi-tier power distribution grids for integrated circuits
TWI611310B (zh) * 2016-12-01 2018-01-11 財團法人工業技術研究院 電源容錯分析方法及系統
US10380308B2 (en) 2018-01-10 2019-08-13 Qualcomm Incorporated Power distribution networks (PDNs) using hybrid grid and pillar arrangements
US10429922B2 (en) * 2018-03-05 2019-10-01 Semiconductor Components Industries, Llc Power domain having an implementation of an on-chip voltage regulator device
CN109087922B (zh) * 2018-09-19 2020-09-29 合肥鑫晟光电科技有限公司 阵列基板及其制作方法、显示面板
US11449116B2 (en) * 2019-09-26 2022-09-20 Arm Limited Power grid porosity techniques
TWI722616B (zh) * 2019-10-23 2021-03-21 瑞昱半導體股份有限公司 電源軌設計方法、裝置及其非暫態電腦可讀取媒體
US11444029B2 (en) 2020-02-24 2022-09-13 International Business Machines Corporation Back-end-of-line interconnect structures with varying aspect ratios
US11829698B2 (en) * 2020-08-17 2023-11-28 Synopsys, Inc. Guided power grid augmentation system and method
US11916384B2 (en) 2021-09-20 2024-02-27 International Business Machines Corporation Region-based power grid generation through modification of an initial power grid based on timing analysis
FR3137494A1 (fr) * 2022-06-30 2024-01-05 Stmicroelectronics (Grenoble 2) Sas Circuit électronique d'alimentation

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5923089A (en) * 1993-12-14 1999-07-13 Oki America, Inc. Efficient routing method and resulting structure for integrated circuits
US6111310A (en) * 1998-09-30 2000-08-29 Lsi Logic Corporation Radially-increasing core power bus grid architecture
US6346721B1 (en) * 2001-03-12 2002-02-12 Lsi Logic Corporation Integrated circuit having radially varying power bus grid architecture
US20030071343A1 (en) * 2001-10-17 2003-04-17 International Business Machines Corporation Integrated circuit bus grid having wires with pre-selected variable widths
US6653726B1 (en) * 2001-09-07 2003-11-25 Lsi Logic Corporation Power redistribution bus for a wire bonded integrated circuit
US20060239102A1 (en) * 2003-02-26 2006-10-26 Atsushi Saita Semiconductor integrated circuit device and its power supply wiring method
US7185305B1 (en) * 2000-11-15 2007-02-27 Magma Design Automation, Inc. Creating a power distribution arrangement with tapered metal wires for a physical design

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1145979A (ja) * 1997-05-26 1999-02-16 Toshiba Corp 半導体集積回路装置及び電源配線の敷設方法
US6838713B1 (en) 1999-07-12 2005-01-04 Virage Logic Corporation Dual-height cell with variable width power rail architecture
US6350964B1 (en) * 2000-11-09 2002-02-26 Applied Materials, Inc. Power distribution printed circuit board for a semiconductor processing system
TW586205B (en) * 2001-06-26 2004-05-01 Intel Corp Electronic assembly with vertically connected capacitors and manufacturing method
US7417328B2 (en) 2001-10-23 2008-08-26 Via Technologies, Inc. External power ring with multiple tapings to reduce IR drop in integrated circuit
US20040049754A1 (en) * 2002-09-06 2004-03-11 Sun Microsystems, Inc. Method and apparatus for filling and connecting filler material in a layout
JP2004139181A (ja) * 2002-10-15 2004-05-13 Renesas Technology Corp レイアウト装置及びプログラム
US7240314B1 (en) 2004-06-04 2007-07-03 Magma Design Automation, Inc. Redundantly tied metal fill for IR-drop and layout density optimization
US7346869B2 (en) 2004-10-29 2008-03-18 Synopsys, Inc. Power network analyzer for an integrated circuit design
US7246337B2 (en) 2004-12-08 2007-07-17 Lsi Corporation Density driven layout for RRAM configuration module
US20070033562A1 (en) 2005-08-05 2007-02-08 International Business Machines Corporation Integrated circuit power distribution layout with sliding grids
JP2007088151A (ja) * 2005-09-21 2007-04-05 Sharp Corp 半導体集積回路の電源配線方法
US7434189B2 (en) 2005-10-20 2008-10-07 Broadcom Corporation I/O driver power distribution method for reducing silicon area
JP2008205399A (ja) * 2007-02-22 2008-09-04 Seiko Epson Corp 半導体集積回路の設計方法
US7847408B2 (en) * 2009-01-16 2010-12-07 Oracle America, Inc. Integrated clock and power distribution

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5923089A (en) * 1993-12-14 1999-07-13 Oki America, Inc. Efficient routing method and resulting structure for integrated circuits
US6111310A (en) * 1998-09-30 2000-08-29 Lsi Logic Corporation Radially-increasing core power bus grid architecture
US7185305B1 (en) * 2000-11-15 2007-02-27 Magma Design Automation, Inc. Creating a power distribution arrangement with tapered metal wires for a physical design
US6346721B1 (en) * 2001-03-12 2002-02-12 Lsi Logic Corporation Integrated circuit having radially varying power bus grid architecture
US6653726B1 (en) * 2001-09-07 2003-11-25 Lsi Logic Corporation Power redistribution bus for a wire bonded integrated circuit
US20030071343A1 (en) * 2001-10-17 2003-04-17 International Business Machines Corporation Integrated circuit bus grid having wires with pre-selected variable widths
US20060239102A1 (en) * 2003-02-26 2006-10-26 Atsushi Saita Semiconductor integrated circuit device and its power supply wiring method

Cited By (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104716049A (zh) * 2013-12-03 2015-06-17 联发科技股份有限公司 协同设计倒装芯片以及硅中介层的方法
CN108231732A (zh) * 2016-12-12 2018-06-29 台湾积体电路制造股份有限公司 集成电路的电源网
CN108231732B (zh) * 2016-12-12 2021-03-26 台湾积体电路制造股份有限公司 集成电路的电源网
CN109698185A (zh) * 2017-10-20 2019-04-30 智原科技股份有限公司 集成电路的配电网络
CN109698185B (zh) * 2017-10-20 2020-09-01 智原科技股份有限公司 集成电路的配电网络
CN111684589A (zh) * 2018-02-02 2020-09-18 Arm 有限公司 配电电路
CN110619136A (zh) * 2019-06-13 2019-12-27 眸芯科技(上海)有限公司 优化引线键合封装芯片的电压降的方法及应用
CN110619136B (zh) * 2019-06-13 2022-02-22 眸芯科技(上海)有限公司 优化引线键合封装芯片的电压降的方法及应用
CN112749526A (zh) * 2019-10-30 2021-05-04 瑞昱半导体股份有限公司 电源轨设计方法、装置及其非瞬时计算机可读介质
CN112749526B (zh) * 2019-10-30 2024-05-07 瑞昱半导体股份有限公司 电源轨设计方法、装置及其非瞬时计算机可读介质
WO2021186269A1 (en) * 2020-03-18 2021-09-23 International Business Machines Corporation Interconnection fabric for buried power distribution
CN111443652A (zh) * 2020-03-24 2020-07-24 深圳市紫光同创电子有限公司 Cpld逻辑单元阵列的供电结构
WO2021227371A1 (zh) * 2020-05-09 2021-11-18 东科半导体(安徽)股份有限公司 一种提升芯片硬宏供电可靠性的方法
CN114662446A (zh) * 2022-03-29 2022-06-24 东科半导体(安徽)股份有限公司 一种用以减小动态功耗的布线优化方法
CN114662446B (zh) * 2022-03-29 2024-05-03 东科半导体(安徽)股份有限公司 一种用以减小动态功耗的布线优化方法

Also Published As

Publication number Publication date
TW201218343A (en) 2012-05-01
US8336018B2 (en) 2012-12-18
EP2395444B1 (en) 2015-05-27
EP2395444A1 (en) 2011-12-14
KR101352413B1 (ko) 2014-01-17
US20110304052A1 (en) 2011-12-15
JP2011258952A (ja) 2011-12-22
KR20110134829A (ko) 2011-12-15
TWI479629B (zh) 2015-04-01

Similar Documents

Publication Publication Date Title
CN102280446A (zh) 电源网格的最优化
US11004780B2 (en) Hard macro having blockage sites, integrated circuit including same and method of routing through a hard macro
US20200167518A1 (en) Method of modifying cell, system for modifying cell and global connection routing method
US8495547B2 (en) Providing secondary power pins in integrated circuit design
US6480989B2 (en) Integrated circuit design incorporating a power mesh
Das et al. Design tools for 3-D integrated circuits
US20180157782A1 (en) Automated Place-And-Route Method For HBM-Based IC Devices
US9501600B2 (en) Standard cells for predetermined function having different types of layout
WO2007121371A2 (en) A system and method for placement of soft macros
US8074187B2 (en) Method and apparatus for inserting metal fill in an integrated circuit (“IC”) layout
Li et al. Fast fixed-outline 3-D IC floorplanning with TSV co-placement
US10719653B2 (en) Spine routing and pin grouping with multiple main spines
US20210192117A1 (en) Method, system, and storage medium of resource planning for designing semiconductor device
Singh et al. Partition-based algorithm for power grid design using locality
US9928334B2 (en) Redistribution layer routing for integrated fan-out wafer-level chip-scale packages
US20140252638A1 (en) Vertical interconnects crosstalk optimization
EP0848426A1 (en) Integrated circuit device and method of manufacturing same
WO2019150072A1 (en) Power distribution circuitry
Kabir et al. Holistic Chiplet–Package Co-Optimization for Agile Custom 2.5-D Design
US20190392106A1 (en) Optimizing library cells with wiring in metallization layers
Cai et al. Simultaneous pre-and free-assignment routing for multiple redistribution layers with irregular vias
US20130074027A1 (en) Designing device for semiconductor integrated circuit and designing method for semiconductor integrated circuit
Lee et al. A study of row-based area-array I/O design planning in concurrent chip-package design flow
Lee et al. Row-based area-array I/O design planning in concurrent chip-package design flow
WO2015054536A1 (en) Spine routing with multiple main spines

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20111214