TWI722616B - 電源軌設計方法、裝置及其非暫態電腦可讀取媒體 - Google Patents

電源軌設計方法、裝置及其非暫態電腦可讀取媒體 Download PDF

Info

Publication number
TWI722616B
TWI722616B TW108138294A TW108138294A TWI722616B TW I722616 B TWI722616 B TW I722616B TW 108138294 A TW108138294 A TW 108138294A TW 108138294 A TW108138294 A TW 108138294A TW I722616 B TWI722616 B TW I722616B
Authority
TW
Taiwan
Prior art keywords
power
circuit
areas
violating
power rail
Prior art date
Application number
TW108138294A
Other languages
English (en)
Other versions
TW202117572A (zh
Inventor
黃晟宸
吳韻如
林欣樟
高淑怡
陳志展
許家榮
林立鎰
Original Assignee
瑞昱半導體股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 瑞昱半導體股份有限公司 filed Critical 瑞昱半導體股份有限公司
Priority to TW108138294A priority Critical patent/TWI722616B/zh
Priority to US17/077,333 priority patent/US11416665B2/en
Application granted granted Critical
Publication of TWI722616B publication Critical patent/TWI722616B/zh
Publication of TW202117572A publication Critical patent/TW202117572A/zh

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/392Floor-planning or layout, e.g. partitioning or placement
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/394Routing
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/06Power analysis or power optimisation

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Architecture (AREA)
  • Computer Networks & Wireless Communication (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

一種電源軌設計方法,包含:在積體電路設計檔中,辨識複數電源軌以及所對應的複數電源區域;對於積體電路設計檔中經由佈局及繞線後產生的複數電路單元進行設計規範驗證,以擷取對應於各電源區域中的電源軌的複數未違規電路區域;以及將積體電路設計檔的電源軌對應至少部分未違規電路區域進行加寬,以佔據至少部分未違規電路區域。

Description

電源軌設計方法、裝置及其非暫態電腦可 讀取媒體
本發明係有關於一種積體電路設計技術,且特別是有關於一種電源軌設計方法、裝置及其非暫態電腦可讀取媒體。
在電源軌上容易發生較嚴重的電遷移以及電壓衰退,對於在電源規劃(power plan)時,為了降低電源軌上電遷移以及電壓衰退所造成的負面影響,先前的方法大多為使用更多的繞線資源供電源規劃使用,例如將電源軌從一個金屬層(Metal layer)增加為兩個金屬層,但使用越多的金屬層會使得繞線資源越來越少,提高設計的難度。
因此,如何設計一個新的電源軌設計方法、裝置及其非暫態電腦可讀取媒體,乃為此一業界亟待解決的問題。
發明內容旨在提供本揭示內容的簡化摘要,以使閱讀者對本揭示內容具備基本的理解。此發明內容並非本揭示內容的完整概述,且其用意並非在指出本發明實施例的重要/關鍵元件或界定本發明的範圍。
本發明內容之一目的是在提供一種電源軌設計方法、裝置及其非暫態電腦可讀取媒體,藉以改善先前技術的問題。
為達上述目的,本發明內容之一技術態樣係關於一種電源軌(power rail)設計方法,包含:在積體電路設計檔中,辨識複數電源軌以及所對應的複數電源區域(power domain);對於積體電路設計檔中經由佈局及繞線(place and route)後產生的複數電路單元進行設計規範驗證(design rule check;DRC),以擷取對應於各電源區域中的電源軌的複數未違規電路區域;以及將積體電路設計檔的電源軌對應至少部分未違規電路區域進行加寬,以佔據至少部分未違規電路區域。
本發明內容之另一技術態樣係關於一種電源軌設計裝置,包含:記憶體以及處理器。記憶體配置以儲存複數電腦可執行指令。處理器電性耦接於記憶體,並配置以擷取並執行電腦可執行指令,以執行電源軌設計方法,電源軌設計方法包含:在積體電路設計檔中,辨識複數電源軌以及所對應的複數電源區域;對於積體電路設計檔中經由佈局及繞線後產生的複數電路單元進行設計規範驗證,以擷取對應於各電源區域 中的電源軌的複數未違規電路區域;以及將積體電路設計檔的電源軌對應至少部分未違規電路區域進行加寬,以佔據至少部分未違規電路區域。
本發明內容之又一技術態樣係關於一種非暫態電腦可讀取媒體,包含複數電腦可讀取指令,其中當該等電腦可讀取指令由一電腦系統之一處理器執行時,使該處理器執行一電源軌設計方法,該電源軌設計方法包含:在積體電路設計檔中,辨識複數電源軌以及所對應的複數電源區域;對於積體電路設計檔中經由佈局及繞線後產生的複數電路單元進行設計規範驗證,以擷取對應於各電源區域中的電源軌的複數未違規電路區域;以及將積體電路設計檔的電源軌對應至少部分未違規電路區域進行加寬,以佔據至少部分未違規電路區域。
本發明的電源軌設計方法、裝置及其非暫態電腦可讀取媒體可在設計規範驗證後,根據電路單元的未違規電路區域,來對電源軌加寬,以提高電源軌的電性表現。
1‧‧‧電源軌設計裝置
100‧‧‧記憶體
101‧‧‧電腦可讀取指令
102‧‧‧處理器
103‧‧‧積體電路設計檔
104‧‧‧網路單元
106‧‧‧儲存單元
108‧‧‧輸入輸出單元
110‧‧‧匯流排
200‧‧‧電源軌設計方法
201-203‧‧‧步驟
3‧‧‧積體電路
300、310‧‧‧電路單元
320、330‧‧‧電源軌
340‧‧‧未違規電路區域
400‧‧‧方法
401-407‧‧‧步驟
N1、N2‧‧‧接點
為讓本發明之上述和其他目的、特徵、優點與實施例能更明顯易懂,所附圖式之說明如下:
第1圖為本發明一實施例中,一種電源軌設計裝置的方塊圖;
第2圖為本發明一實施例中,一種電源軌設計方法的流程圖;
第3圖為本發明一實施例中,一個積體電路的佈局示意 圖;以及
第4圖為本發明一實施例中,用以執行第2圖的步驟203的方法的流程圖。
請參照第1圖。第1圖為本發明一實施例中,一種電源軌設計裝置1的方塊圖。電源軌設計裝置1包含:記憶體100、處理器102、網路單元104、儲存單元106及輸入輸出單元108。上述的元件可藉由例如,但不限於匯流排110與彼此進行通訊。
記憶體100為可用以儲存資料的任何儲存裝置,可為例如,但不限於隨機存取記憶體(random access memory;RAM)、唯讀記憶體(read only memory;ROM)、快閃記憶體、硬碟或其他可用以儲存資料的儲存裝置。記憶體100配置以至少儲存複數電腦可讀取指令101。於一實施例中,記憶體100亦可用以儲存處理器102進行運算時產生的暫存資料。
處理器102電性耦接於記憶體100,配置以自記憶體100存取電腦可讀取指令101,以控制電源軌設計裝置1中的元件執行電源軌設計裝置1的功能。
其中,網路單元104配置以在處理器102的控制下進行網路的存取。儲存單元106可為例如,但不限於磁碟或光碟,以在處理器102的控制下儲存資料或是指令。輸入輸出單元108為可由使用者操作以與處理器102通訊,進行資料的輸 入與輸出。
請參照第2圖。第2圖為本發明一實施例中,一種電源軌設計方法200的流程圖。電源軌設計方法200可應用於第1圖所示的電源軌設計裝置1中,或由其他硬體元件如資料庫、一般處理器、計算機、伺服器、或其他具特定邏輯電路的獨特硬體裝置或具特定功能的設備來實作,如將程式碼和處理器/晶片整合成獨特硬體。更詳細地說,電源軌設計方法200可使用電腦程式實現,以控制電源軌設計裝置1的各元件。電腦程式可儲存於一非暫態電腦可讀取記錄媒體中,例如唯讀記憶體、快閃記憶體、軟碟、硬碟、光碟、隨身碟、磁帶、可由網路存取之資料庫或熟悉此技藝者可輕易思及具有相同功能之電腦可讀取記錄媒體。
電源軌設計方法200包含下列步驟(應瞭解到,在本實施方式中所提及的步驟,除特別敘明其順序者外,均可依實際需要調整其前後順序,甚至可同時或部分同時執行)。
於步驟201,在積體電路設計檔103中,辨識複數電源軌以及所對應的複數電源區域。
於一實施例中,積體電路設計檔103可儲存於例如,但不限於記憶體100中,並由處理器102擷取。積體電路設計檔包含複數個不同的電路單元以及電源軌的設計資料。
請參照第3圖。第3圖為本發明一實施例中,一個積體電路3的佈局示意圖。
積體電路3包含電路單元以及電源軌。在第3圖 中,是範例性地繪示電路單元300、310以及電源軌320、330。
電路單元300、310在不同實施例中,可為各種邏輯運算閘、運算單元或其他具有特定功能的電路,以對輸入的資料進行運算與處理。於一實施例中,此些電路單元300、310為標準單元(standard cell),為最小的電路單元。
電源軌320、330分別透過電源接點,例如接點N1、N2,電性耦接於電路單元300、310。於一實施例中,電源軌320、330其中之一者是連接至非接地電壓源(未繪示)的電源線,以由電壓源提供電源至電路單元300、310;另一者則是連接至接地電位(未繪示)的接地線,以提供接地電位至電路單元300、310。
針對不同需求的電路單元300、310,電源軌320、330可能提供不同電壓的電源,或是相同電壓但不同來源的電源。因此,當電路單元300、310是根據不同的電源運作時,將分別屬於不同的電源區域。
於步驟202,對於積體電路設計檔103中經由佈局及繞線後產生的電路單元300、310進行設計規範驗證,以擷取對應於各電源區域中的電源軌320、330的複數未違規電路區域。
為使任意擺放下均可符合設計規範,電路單元300、310往往不會將其金屬寬度填滿,而具有彈性空間。因此,在將電路單元300、310經由佈局及繞線產生,並進行設計規範驗證後,將可得到多個未違規電路區域。其中,至少部分未違規電路區域為鄰接而對應於電源軌320、330,例如第3 圖所範例性繪示的未違規電路區域340。
於步驟203,將積體電路設計檔103的電源軌320、330對應未違規電路區域340進行加寬,以佔據至少部分未違規電路區域340。
由於這些未違規電路區域340並未違反設計規範,因此可被利用以將電源軌320、330加寬,而延伸至未違規電路區域340中。
於一實施例中,電源軌320、330加寬是依據所屬的電源區域來加寬。舉例而言,當電源軌320、330是接收來自電壓源的電源時,則加寬的部分亦是用以接收電壓源的電源。而當電源軌320、330是接收接地電位時,則加寬的部分亦是用以接收接地電位。
於一實施例中,如果將所有的未違規電路區域340均用於電源軌320、330的加寬,將對積體電路設計與修改的彈性造成影響。因此,於一實施例中,可對積體電路設計檔103中的電路單元300、310進行分析,以僅針對根據分析結果所挑選的未違規電路區域340來加寬。
請參照第4圖。第4圖為本發明一實施例中,用以執行第2圖的步驟203的方法400的流程圖。
於步驟401,在擷取未違規電路區域340後,可對積體電路設計檔103中的電路單元300、310進行模擬產生電流與電壓分析,以判斷電路單元300、310中的電性表現弱點區域。其中,電性表現弱點區域為容易受到電源電壓降(IR drop)以及/或電遷移(electromigration;EM)影響的區域。
於步驟402,判斷未違規電路區域340以及電性表現弱點區域的交集。
於步驟403,判斷交集的數量是否小於一個預設值。
於步驟404,當交集的數量接著小於預設值時,僅將電源軌320、330對應未違規電路區域340以及電性表現弱點區域的交集加寬。
而當交集的數量不小於預設值時,即表示未違規電路區域340以及電性表現弱點區域的交集的數量依然太多。
在這樣的情形下,於步驟405,在積體電路設計檔103中判斷自一個電壓源(例如透過電源軌320、330所連接的電壓源)至電路單元300、310中的複數個電源傳輸路徑中的最小電阻路徑。其中,在最小電阻路徑上,較容易有較大的電流通過。
於步驟406,在未違規電路區域340以及電性表現弱點區域的交集中,判斷位於最小電阻路徑上的候選區域。
於步驟407,僅將電源軌320、330對應候選區域加寬。
本發明的電源軌設計方法、裝置及其非暫態電腦可讀取媒體可在設計規範驗證後,根據電路單元的未違規電路區域,來對電源軌加寬,在不占用繞線階段前的繞線資源且不違反設計規範的情形下,有效地解決電源軌的電源電壓降以及 電遷移問題。
雖然上文實施方式中揭露了本發明的具體實施例,然其並非用以限定本發明,本發明所屬技術領域中具有通常知識者,在不悖離本發明之原理與精神的情形下,當可對其進行各種更動與修飾,因此本發明之保護範圍當以附隨申請專利範圍所界定者為準。
200‧‧‧電源軌設計方法
201-203‧‧‧步驟

Claims (10)

  1. 一種電源軌(power rail)設計方法,包含:在一積體電路設計檔中,辨識複數電源軌以及所對應的複數電源區域(power domain);對於該積體電路設計檔中經由佈局及繞線(place and route)後產生的複數電路單元進行設計規範驗證(design rule check;DRC),以擷取對應於各該等電源區域中的該等電源軌的複數未違規電路區域;以及將該積體電路設計檔的該等電源軌延伸至該等未違規電路區域中的至少一未違規電路區域,以佔據該至少一未違規電路區域中的部分區域。
  2. 如請求項1所述之電源軌設計方法,更包含:對該等電路單元進行模擬產生電流與電壓分析,以判斷該等電路單元中的複數電性表現弱點區域;以及僅將對應該等未違規電路區域以及該等電性表現弱點區域的交集的該等電源軌延伸至該等未違規電路區域。
  3. 如請求項2所述之電源軌設計方法,其中該等電性表現弱點區域為會受到電源電壓降(IR drop)以及/或電遷移(electromigration;EM)影響的區域。
  4. 如請求項1所述之電源軌設計方法,更包 含:在該積體電路設計檔中,判斷自一電壓源至該等電路單元中的複數個電源傳輸路徑中的一最小電阻路徑;在該等未違規電路區域以及該等電性表現弱點區域的交集中,判斷位於該最小電阻路徑上的複數候選區域;以及僅將該等電源軌延伸至對應的該等候選區域中。
  5. 如請求項1所述之電源軌設計方法,其中該等電源軌分別為一電源線或一接地線。
  6. 一種電源軌設計裝置,包含:一記憶體,配置以儲存複數電腦可執行指令;以及一處理器,電性耦接於該記憶體,並配置以擷取並執行該等電腦可執行指令,以執行一電源軌設計方法,該電源軌設計方法包含:在一積體電路設計檔中,辨識複數電源軌以及所對應的複數電源區域;對於該積體電路設計檔中經由佈局及繞線後產生的複數電路單元進行設計規範驗證,以擷取對應於各該等電源區域中的該等電源軌的複數未違規電路區域;以及將該積體電路設計檔的該等電源軌延伸至該等未違規電路區域中的至少一未違規電路區域,以佔據該至少一未違規電路區域中的部分區域。
  7. 如請求項6所述之電源軌設計裝置,其中該電源軌設計方法更包含:對該等電路單元進行模擬產生電流與電壓分析,以判斷該等電路單元中的複數電性表現弱點區域;以及僅將對應該等未違規電路區域以及該等電性表現弱點區域的交集的該等電源軌延伸至該等未違規電路區域。
  8. 如請求項7所述之電源軌設計裝置,其中該等電性表現弱點區域為容易受到電源電壓降以及/或電遷移影響的區域。
  9. 如請求項6所述之電源軌設計裝置,其中該電源軌設計方法更包含:在該積體電路設計檔中,判斷自一電壓源至該等電路單元中的複數個電源傳輸路徑中的一最小電阻路徑;在該等未違規電路區域以及該等電性表現弱點區域的交集中,判斷位於該最小電阻路徑上的複數候選區域;以及僅將該等電源軌延伸至對應的該等候選區域中。
  10. 一種非暫態電腦可讀取媒體,包含複數電腦可讀取指令,其中當該等電腦可讀取指令由一電腦系統之一處理器執行時,使該處理器執行一電源軌設計方法,該電源軌設計方法包含下列步驟:在一積體電路設計檔中,辨識複數電源軌以及所對應的 複數電源區域;對於該積體電路設計檔中經由佈局及繞線後產生的複數電路單元進行設計規範驗證,以擷取對應於各該等電源區域中的該等電源軌的複數未違規電路區域;以及將該積體電路設計檔的該等電源軌延伸至該等未違規電路區域中的至少一未違規電路區域,以佔據該至少一未違規電路區域中的部分區域。
TW108138294A 2019-10-23 2019-10-23 電源軌設計方法、裝置及其非暫態電腦可讀取媒體 TWI722616B (zh)

Priority Applications (2)

Application Number Priority Date Filing Date Title
TW108138294A TWI722616B (zh) 2019-10-23 2019-10-23 電源軌設計方法、裝置及其非暫態電腦可讀取媒體
US17/077,333 US11416665B2 (en) 2019-10-23 2020-10-22 Power rail design method, apparatus and non-transitory computer readable medium thereof

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW108138294A TWI722616B (zh) 2019-10-23 2019-10-23 電源軌設計方法、裝置及其非暫態電腦可讀取媒體

Publications (2)

Publication Number Publication Date
TWI722616B true TWI722616B (zh) 2021-03-21
TW202117572A TW202117572A (zh) 2021-05-01

Family

ID=75585909

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108138294A TWI722616B (zh) 2019-10-23 2019-10-23 電源軌設計方法、裝置及其非暫態電腦可讀取媒體

Country Status (2)

Country Link
US (1) US11416665B2 (zh)
TW (1) TWI722616B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI792882B (zh) * 2022-01-24 2023-02-11 瑞昱半導體股份有限公司 基於電源軌及供電域的用於積體電路佈局的最佳化方法及最佳化裝置

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI831569B (zh) * 2023-01-12 2024-02-01 瑞昱半導體股份有限公司 電源規劃方法、晶片裝置以及適用於電源規劃方法的非暫態電腦可讀取媒體

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004158532A (ja) * 2002-11-05 2004-06-03 Ricoh Co Ltd レイアウト生成ツールおよび半導体集積回路
CN101752368A (zh) * 2008-12-18 2010-06-23 台湾积体电路制造股份有限公司 具有可变设计规则的标准单元架构和方法
TW201218343A (en) * 2010-06-09 2012-05-01 Lsi Corp Power grid optimization
US20120286858A1 (en) * 2011-05-13 2012-11-15 John Philip Biggs Integrated circuit, method of generating a layout of an integrated circuit using standard cells, and a standard cell library providing such standard cells
TW201245996A (en) * 2011-03-30 2012-11-16 Synopsys Inc Power routing in standard cell designs
CN106055726A (zh) * 2015-04-08 2016-10-26 联发科技股份有限公司 集成电路中的单元布局
TW201730799A (zh) * 2015-12-18 2017-09-01 Arm股份有限公司 用於修改界定電路組件之標準單元布局之電腦實施系統及方法
US20180166439A1 (en) * 2011-12-29 2018-06-14 Taiwan Semiconductor Manufacturing Co., Ltd. Esd protection circuit cell
US20190026417A1 (en) * 2013-11-19 2019-01-24 Arm Limited Computer Implemented System and Method for Generating a Layout of a Cell Defining a Circuit Component

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7989849B2 (en) 2006-11-15 2011-08-02 Synopsys, Inc. Apparatuses and methods for efficient power rail structures for cell libraries
US8276109B2 (en) * 2008-12-23 2012-09-25 Broadcom Corporation Mixed-height high speed reduced area cell library
US8423946B1 (en) * 2010-05-25 2013-04-16 Marvell International Ltd. Circuitry having programmable power rails, architectures, apparatuses, and systems including the same, and methods and algorithms for programming and/or configuring power rails in an integrated circuit
US8507957B2 (en) * 2011-05-02 2013-08-13 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit layouts with power rails under bottom metal layer
US10147714B2 (en) * 2016-10-10 2018-12-04 Globalfoundries Inc. Method, apparatus, and system for two-dimensional power rail to enable scaling of a standard cell

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004158532A (ja) * 2002-11-05 2004-06-03 Ricoh Co Ltd レイアウト生成ツールおよび半導体集積回路
CN101752368A (zh) * 2008-12-18 2010-06-23 台湾积体电路制造股份有限公司 具有可变设计规则的标准单元架构和方法
TW201218343A (en) * 2010-06-09 2012-05-01 Lsi Corp Power grid optimization
TW201245996A (en) * 2011-03-30 2012-11-16 Synopsys Inc Power routing in standard cell designs
US20120286858A1 (en) * 2011-05-13 2012-11-15 John Philip Biggs Integrated circuit, method of generating a layout of an integrated circuit using standard cells, and a standard cell library providing such standard cells
US20180166439A1 (en) * 2011-12-29 2018-06-14 Taiwan Semiconductor Manufacturing Co., Ltd. Esd protection circuit cell
US20190026417A1 (en) * 2013-11-19 2019-01-24 Arm Limited Computer Implemented System and Method for Generating a Layout of a Cell Defining a Circuit Component
CN106055726A (zh) * 2015-04-08 2016-10-26 联发科技股份有限公司 集成电路中的单元布局
TW201730799A (zh) * 2015-12-18 2017-09-01 Arm股份有限公司 用於修改界定電路組件之標準單元布局之電腦實施系統及方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI792882B (zh) * 2022-01-24 2023-02-11 瑞昱半導體股份有限公司 基於電源軌及供電域的用於積體電路佈局的最佳化方法及最佳化裝置

Also Published As

Publication number Publication date
US20210124864A1 (en) 2021-04-29
TW202117572A (zh) 2021-05-01
US11416665B2 (en) 2022-08-16

Similar Documents

Publication Publication Date Title
US8468482B1 (en) Modeling and simulating the impact of imperfectly patterned via arrays on integrated circuits
US11775725B2 (en) System and computer program product for integrated circuit design
KR101776385B1 (ko) 집적 회로 레이아웃 생성을 위한 방법, 소자 및 컴퓨터 프로그램 제품
US8423940B2 (en) Early noise detection and noise aware routing in circuit design
US9740815B2 (en) Electromigration-aware integrated circuit design methods and systems
TW201218004A (en) Methods, systems, and articles of manufacture for implementing electronic circuit designs with electrical awareness
TWI640883B (zh) 電腦可讀存儲介質及積體電路的電壓降和電遷移的分析方法
US9165102B1 (en) Routing standard cell-based integrated circuits
CN109086468B (zh) 用于设计集成电路芯片的方法、系统及电脑程序产品
US11036913B2 (en) Integrated circuit methods using single-pin imaginary devices
TWI722616B (zh) 電源軌設計方法、裝置及其非暫態電腦可讀取媒體
US7315992B2 (en) Electro-migration (EM) and voltage (IR) drop analysis of integrated circuit (IC) designs
TWI775299B (zh) 在電子設計自動化平台上進行電壓規則檢查的電腦實施方法
US7200829B2 (en) I/O circuit power routing system and method
US8429584B2 (en) Method, electronic design automation tool, computer program product, and data processing program for creating a layout for design representation of an electronic circuit and corresponding port for an electronic circuit
CN112749526B (zh) 电源轨设计方法、装置及其非瞬时计算机可读介质
US10922456B1 (en) Circuit modification for efficient electro-static discharge analysis of integrated circuits
TWI792882B (zh) 基於電源軌及供電域的用於積體電路佈局的最佳化方法及最佳化裝置
US20160140273A1 (en) Integrated circuit performance modeling using a connectivity-based condensed resistance model for a conductive structure in an integrated circuit
US7823112B1 (en) Method, software and system for ensuring timing between clocked components in a circuit
US9852259B2 (en) Area and/or power optimization through post-layout modification of integrated circuit (IC) design blocks
CN116611385A (zh) 用于集成电路布局的最佳化方法及最佳化装置
US9171113B2 (en) Systems and methods for semiconductor voltage drop analysis
US20180173818A1 (en) Exact delay synthesis
US20230023317A1 (en) Systems and Methods for Providing A Dynamic High Voltage Circuit Design Workflow