TWI559161B - 產生電源供應網路模型之方法,以及電源供應網路模型分析 方法與裝置 - Google Patents

產生電源供應網路模型之方法,以及電源供應網路模型分析 方法與裝置 Download PDF

Info

Publication number
TWI559161B
TWI559161B TW104124054A TW104124054A TWI559161B TW I559161 B TWI559161 B TW I559161B TW 104124054 A TW104124054 A TW 104124054A TW 104124054 A TW104124054 A TW 104124054A TW I559161 B TWI559161 B TW I559161B
Authority
TW
Taiwan
Prior art keywords
power supply
supply network
power
network model
circuit
Prior art date
Application number
TW104124054A
Other languages
English (en)
Other versions
TW201705025A (zh
Inventor
林昌賜
蒯定明
林子閔
Original Assignee
財團法人工業技術研究院
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 財團法人工業技術研究院 filed Critical 財團法人工業技術研究院
Priority to TW104124054A priority Critical patent/TWI559161B/zh
Priority to US14/945,417 priority patent/US10289141B2/en
Application granted granted Critical
Publication of TWI559161B publication Critical patent/TWI559161B/zh
Publication of TW201705025A publication Critical patent/TW201705025A/zh

Links

Classifications

    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05FSYSTEMS FOR REGULATING ELECTRIC OR MAGNETIC VARIABLES
    • G05F1/00Automatic systems in which deviations of an electric quantity from one or more predetermined values are detected at the output of the system and fed back to a device within the system to restore the detected quantity to its predetermined value or values, i.e. retroactive systems
    • G05F1/66Regulating electric power
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05FSYSTEMS FOR REGULATING ELECTRIC OR MAGNETIC VARIABLES
    • G05F1/00Automatic systems in which deviations of an electric quantity from one or more predetermined values are detected at the output of the system and fed back to a device within the system to restore the detected quantity to its predetermined value or values, i.e. retroactive systems
    • G05F1/10Regulating voltage or current
    • G05F1/625Regulating voltage or current wherein it is irrelevant whether the variable actually regulated is ac or dc
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/394Routing
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/06Power analysis or power optimisation
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02EREDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
    • Y02E60/00Enabling technologies; Technologies with a potential or indirect contribution to GHG emissions mitigation
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y04INFORMATION OR COMMUNICATION TECHNOLOGIES HAVING AN IMPACT ON OTHER TECHNOLOGY AREAS
    • Y04SSYSTEMS INTEGRATING TECHNOLOGIES RELATED TO POWER NETWORK OPERATION, COMMUNICATION OR INFORMATION TECHNOLOGIES FOR IMPROVING THE ELECTRICAL POWER GENERATION, TRANSMISSION, DISTRIBUTION, MANAGEMENT OR USAGE, i.e. SMART GRIDS
    • Y04S40/00Systems for electrical power generation, transmission, distribution or end-user application management characterised by the use of communication or information technologies, or communication or information technology specific aspects supporting them
    • Y04S40/20Information technology specific aspects, e.g. CAD, simulation, modelling, system security

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Theoretical Computer Science (AREA)
  • Automation & Control Theory (AREA)
  • Power Engineering (AREA)
  • Electromagnetism (AREA)
  • Radar, Positioning & Navigation (AREA)
  • General Engineering & Computer Science (AREA)
  • Geometry (AREA)
  • Evolutionary Computation (AREA)
  • Computer Networks & Wireless Communication (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Description

產生電源供應網路模型之方法,以及電源供應網路模型分析 方法與裝置
本案是有關於一種產生電源供應網路模型之方法,以及電源供應網路模型分析方法與裝置。
在電路設計中,電源供應網路(PDN,power distribution network)是考量因素之一。以目前而言,數位設計流程主要有3種分析模式:完整PDN模型(耗時但高精確度)、高階晶粒PDN模型(分析時間較短但精確度較為不足)以及綜合模型(混合上述兩種模型)。
以目前而言,仍缺乏產生異質(heterogeneous)電路(例如類比電路、記憶體等非數位電路與數位電路整合)的PDN模型的技術與工具,故而,不易將非數位電路整合於數位設計流程,也不易整合不同製程的電路。
故而,在對異質電路做電壓降(voltage drop)整合分析時,如果能產生異質電路的電源供應網路模型(PDN Model)的話,則可以避免危及智財權和洩漏機密,且可模擬出異質電路的 PDN模型,以利於電壓降分析。
本案係有關於一種產生電源供應網路模型之方法,以及電源供應網路模型分析方法與裝置,產生異質電路的電源供應網路模型(PDN Model),以讓異質電路能進入數位設計流程,提高分析精確度但卻又不過於消耗太多時間。
根據本案之一實施例,提出一種產生電源供應網路模型之方法,包括:輸入一異質電路之一輸入資料;根據該異質電路之該輸入資料來決定複數條水平電源線及複數條垂直電源線;根據該些水平電源線與該些垂直電源線來決定該異質電路之一電源供應網路模型;將一功率消耗值分配於該電源供應網路模型的複數個內部節點上;以及調整該異質電路之該電源供應網路模型,以符合該輸入資料之一目標電壓降限制值。
根據本案之又一實施例,提出一種電源供應網路分析方法,包括:輸入一數位電路之一電源供應網路;輸入一異質電路之一輸入資料;根據該異質電路之該輸入資料,產生如上所述之該異質電路的一電源供應網路模型;對該數位電路之該電源供應網路與該異質電路的該電源供應網路模型進行數位系統電壓降分析;以及根據一電壓降分析結果,調整該數位電路的該電源供應網路。
根據本案另一實施例,提出一種電源供應網路分析裝置,包括:一異質電路電源供應網路模型產生器,接收一異質 電路之一輸入資料,以產生如上所述之該異質電路之一電源供應網路模型;一電壓降分析器,對該異質電路之該電源供應網路模型與一數位電路之一電源供應網路進行數位系統電壓降分析;以及一數位電路電源供應網路調整器,根據該電壓降分析器的一分析結果而調整該數位電路的該電源供應網路。
為了對本案之上述及其他方面有更佳的瞭解,下文特舉實施例,並配合所附圖式,作詳細說明如下:
110‧‧‧數位電路
120-140‧‧‧異質電路
111‧‧‧PDN
210-250‧‧‧步驟
310-350‧‧‧步驟
410‧‧‧欲分析電源領域的電源微凸塊
420‧‧‧信號微凸塊或其他電源領域的電源微凸塊
510‧‧‧水平電源線
520‧‧‧垂直電源線
530、540‧‧‧邊界
550‧‧‧內部節點
560‧‧‧PDN模型
610-620‧‧‧步驟
710‧‧‧浮接電源微凸塊
810‧‧‧PDN
820-840‧‧‧PDN模型
900‧‧‧電源供應網路分析裝置
910‧‧‧異質電路PDN模型產生器
920‧‧‧電壓降分析器
930‧‧‧數位電路PDN調整器
第1圖顯示堆疊「數位電路」與「異質電路」之示意圖。
第2圖顯示根據本案一實施例之電源供應網路模型分析流程。
第3圖顯示根據本案一實施例之產生異質電路之數位電源供應網路模型之方法。
第4圖顯示微凸塊分佈圖之一例。
第5A圖-第5D圖顯示本案一實施例所產生之異質電路之PDN模型。
第6圖顯示根據本案另一實施例之產生異質電路的PDN模型的流程。
第7A圖顯示本案一實施例之浮接電源微凸塊。
第7B圖顯示本案一實施例中,以最短路徑將浮接電源微凸塊連接至電源供應網路模型。
第8圖顯示根據本案一實施例之異質電路堆疊於數位電路之 示意圖,以進行數位系統電壓降分析。
第9圖顯示根據本案又一實施例之電源供應網路模型分析裝置之方塊圖。
本說明書的技術用語係參照本技術領域之習慣用語,如本說明書對部分用語有加以說明或定義,該部分用語之解釋係以本說明書之說明或定義為準。本揭露之各個實施例分別具有一或多個技術特徵。在可能實施的前提下,本技術領域具有通常知識者可選擇性地實施任一實施例中部分或全部的技術特徵,或者選擇性地將這些實施例中部分或全部的技術特徵加以組合。
現請參考第1圖,其顯示堆疊「數位電路」110與「異質電路」120-140之示意圖。「異質電路」120-140例如但不受限於,類比電路及/或記憶體。或者,「異質電路」120-140可以是與「數位電路」110以不同製程製成的數位電路。或者,「異質電路」120-140可以是非數位電路及/或數位電路之組合。在進行分析前,並不知道「異質電路」之電源供應網路(PDN,power distribution network)模型。在進行分析前,「數位電路」110之PDN111是已知,且可根據分析結果而被調整。「數位電路」110例如但不受限於,數位邏輯電路。「異質電路」120-140例如但不受限於,DRAM(dynamic random access memory,動態隨機存取記憶體)。第1圖顯示的乃是將「數位電路」110與「異質電路」120-140 垂直堆疊,但本案並不受限於此。在本案其他可能實施例中,「數位電路」110與「異質電路」120-140也可水平(side-by-side)堆疊,此亦在本案精神範圍內。在本實施例中,第1圖顯示的是將「數位電路」110與「異質電路」120-140堆疊,在其他實施例中,可以將「數位電路」110與較少數量的「異質電路」堆疊(例如,可以將「數位電路」110與單一「異質電路」堆疊)。
在本案一實施例中,於PDN模型分析時,可模擬出異質電路120-140的PDN模型,其細節將參考其他圖示而做說明。
現請參考第2圖,其顯示根據本案之電源供應網路模型之分析流程。第2圖之電源供應網路模型之分析流程例如但不受限於,可以達到PDN的系統層級模擬及對數位電路的PDN最佳化。如第2圖所示,於步驟210中,輸入數位電路(如110)之PDN。於步驟220中,輸入異質電路資料。於步驟230中,產生「異質電路」的PDN模型,其細節將於底下揭露之。於步驟240中,對數位電路之PDN與異質電路的PDN模型進行數位系統電壓降(voltage drop)分析。於步驟250中,根據電壓降分析結果,對數位電路的PDN進行調整,例如但不受限於,最佳化數位電路的PDN,例如可以調整數位電路的PDN中的一條、多條及/或全部電源線的寬度,以使得此系統的電壓降分析能符合目標電壓降限制值。在本案其他可能實施例中,調整數位電路的PDN例如是或者是增加或減少電源線。
現請參考第3圖,其顯示根據本案一實施例之產生 異質電路之數位電源供應網路模型之方法。如第3圖所示,於步驟310中,輸入異質電路資料。詳細地說,可以輸入的異質電路資料例如但不受限於,晶片尺寸(chip size)、功率消耗值、微凸塊分佈資料(例如微凸塊分佈圖(bump map))、目標電壓降(target voltage drop)限制值,或其任意組合。晶片尺寸例如是此異質電路之長、寬等尺寸參數。功率消耗值例如是在此電源領域(power domain)下之功率消耗值。異質電路可能包括多個電源領域。如果異質電路包括多個電源領域的話,可能會規範在各電源領域下之各別功率消耗值。
微凸塊可能包括:信號微凸塊、電源微凸塊及/或其他微凸塊。微凸塊分佈圖例如是,在此電源領域下,所有微凸塊的分佈情形。各電源領域將會對應至各別微凸塊分佈圖。第4圖顯示微凸塊分佈圖之一例。在第4圖中,黑色微凸塊410代表目前欲分析電源領域的電源微凸塊,而白色微凸塊420則代表信號微凸塊或其他電源領域的電源微凸塊。目標電壓降限制值是指,在此電源領域中,電源供應網路模型的各節點所對應的目標電壓降。在本實施例中,可透過電源線的調整,讓各節點所對應的電壓降皆能符合此目標電壓降限制值。
於步驟320中,決定複數條電源線。具體地說,如第5A圖所示,根據微凸塊分佈圖的該些電源微凸塊的位置來決定m條水平電源線510及n條垂直電源線520的位置,其中,m與n乃是正整數。第5A圖顯示所決定出的複數條電源線的一例。 如第5A圖所示,各電源微凸塊位於水平電源線與垂直電源線之交叉處。例如,電源微凸塊410位於第3條(由上往下數)水平電源線與第1條(由右往左數)垂直電源線的交叉處。
步驟320的具體作法例如但不受限於,將電源微凸塊位置分別映射至水平軸(x軸)及垂直軸(y軸),以得到M個水平軸投影點及N個垂直軸投影點(M與N為正整數)。從M個水平軸投影點中選取m點(mM),並從這些m點作水平延伸以產生m條水平電源線。從N個垂直軸投影點中選取n點(nN),並從這些n點作垂直延伸以產生n條垂直電源線。在此實施例中,例如但不受限於,M=6與N=7,m與n皆取最大值。
於步驟330中,決定異質電路的電源供應網路模型。m×n條電源線的交會可以形成封閉格狀電源供應網路模型。在此實施例中,第5B圖所示,電源供應網路模型的邊界可以根據電源微凸塊的位置而決定(如邊界530),也可以是根據晶片尺寸而決定(如邊界540)。也就是說,邊界540即為此異質電路的電路邊界。
於步驟340中,均勻分配功率消耗值於步驟330所形成的電源供應網路模型的複數個內部節點上,如第5C圖所示。內部節點選擇性包括該些電源微凸塊。亦即,功率消耗值可選擇性分配於該些電源微凸塊上。
更具體地,功率消耗值分配例如以下兩種情況,但不以此為限。如果一起考量數位電路110與異質電路120-140的 話,則每個內部節點550所分配的內部節點功率消耗值等於p_con/(m*n-b),b代表電源微凸塊的個數,而p_con代表所輸入的功率消耗值。亦即在此情況下,功率消耗值不會分配至電源微凸塊。
如果只考量異質電路120-140的話,則每個內部節點550所分配的內部節點功率消耗值等於p_con/(m*n)。亦即在此情況下,功率消耗值將會分配到電源微凸塊。在其他實施例中,無論是一起考量數位電路110與異質電路120-140,或是只考量異質電路120-140,每個內部節點550所分配的功率消耗值皆可適實際需求以p_con/(m*n-b)或p_con/(m*n)計算。
於步驟350中,調整電源供應網路模型,以符合目標電壓降限制值。例如,調整電源供應網路模型的該些電源線中的一條、多條及/或全部的寬度,以讓此電源供應網路模型的各節點的電壓降皆可符合目標電壓降限制值。
第5D圖中,經過調整後的電源供應網路模型即是此異質電路的PDN模型560。第5D圖顯示所產生的異質電路的數位電源供應網路模型。由上述可知,步驟320-350乃是步驟230之詳細步驟。
由上述描述可知,本案一實施例可以模擬異質電路的PDN模型。所模擬出的異質電路的PDN模型可以跟數位電路的PDN一起進行數位系統電壓降分析(如第2圖的步驟240),並根據電壓降分析結果來調整數位電路110的PDN,以最佳化數位 電路110的PDN。
現請參考第6圖,其顯示根據本案另一實施例之產生異質電路的PDN模型的流程。第6圖之步驟310-330與340-350基本上相同於第3圖的步驟310-350。第6圖的步驟610則是檢查在步驟330中所決定的電源供應網路模型中是否包含浮接(floating)電源微凸塊。浮接電源微凸塊是指,未連接至電源供應網路模型的電源微凸塊,如第7A圖的電源微凸塊710所示。如果電源供應網路模型包含浮接電源微凸塊的話,在本案一實施例中,將浮接電源微凸塊連接至電源供應網路模型,如步驟620。具體地說,以最短路徑將浮接電源微凸塊連接至電源供應網路模型,如第7B圖所示。找出此浮接電源微凸塊相距相鄰的上下左右電源之間的各別距離,以決定距離此浮接電源微凸塊最近的電源線。將此浮接電源微凸塊連接至最近電源線。在此實施例中,電源供應網路模型包含浮接電源微凸塊的可能原因例如是,第7A圖的水平電源線個數m’比第5A圖的水平電源線的最大可能個數M少一條,且第7A圖的垂直電源線個數n’比第5A圖的最大可能垂直電源線個數N少一條(m’+n’≦M+N-2),以致於有電源微凸塊沒有被任何電源線通過。但此條件(m’+n’≦M+N-2)的符合不必然造成電源供應網路模型包含浮接電源微凸塊。
現請參考第8圖,其顯示根據本案一實施例之異質電路120-140堆疊於數位電路110之示意圖,以進行數位系統電壓降分析,其中,可根據上述方式來產生異質電路120-140的PDN 模型820-840,且根據電壓降分析結果來調整電位電路110之PDN810。
此外,在本案一實施例中,於進行數位系統電壓降分析時,可利用一般的IR分析引擎,來進行RC取出(RC extraction)、功率計算(power calculation)、IR分析(IR analysis)等,以得到此PDN模型的各節點的電壓降。
現請參考第9圖,其顯示根據本案又一實施例之電源供應網路分析裝置之方塊圖。如第9圖所示,電源供應網路分析裝置900包括:異質電路PDN模型產生器910、電壓降分析器920與數位電路PDN調整器930。
異質電路PDN模型產生器910接收異質電路輸入資料H_Die,以產生異質電路PDN模型H_PDN。異質電路PDN模型產生器910之細節可如第3圖與第6圖所示。在本案一實施例中,將異質電路的輸入資料輸入至異質電路PDN模型產生器910,可以產生一般數位流程用的格式或檔案(例如但不受限於,格式DEF、LEF、LIB等)。DEF(資料交換格式,Data Exchange Format)描述晶片佈局中各個元件(Cell)的座標、金屬線長寬等幾何資料的文件格式;LEF(資料庫交換格式,Library Exchange Format)描述元件幾何資料的文件格式;LIB(時序資訊檔案,Liberty Timing File)描述元件的延遲時間、功率等等資料的文件格式。異質電路PDN模型產生器910例如執行步驟230、320-350與610-620。
電壓降分析器920對異質電路PDN模型H_PDN與數位電路PDN(D_PDN)進行數位系統電壓降分析,其細節在此不詳述。電壓降分析器920例如執行步驟240。
數位電路PDN調整器930則根據電壓降分析器920的分析結果而調整數位電路PDN(D_PDN)成為調整後數位電路PDN(D_PDN’),其細節在此不詳述。數位電路PDN調整器930例如執行步驟250。
在一實施例中,上述的異質電路PDN模型產生器910、電壓降分析器920與數位電路PDN調整器930可以利用硬體分別實施。在另一實施例中,異質電路PDN模型產生器910、電壓降分析器920與數位電路PDN調整器930也可以利用軟體實施,例如但不受限於,可以實施於伺服器、電腦、筆記型電腦、平板電腦或行動裝置(例如手機等)等裝置。例如實施於伺服器中,伺服器可包括處理器、記憶體和儲存裝置等,處理器執行異質電路PDN模型產生器910、電壓降分析器920與數位電路PDN調整器930的功能,記憶體儲存異質電路PDN模型產生器910、電壓降分析器920與數位電路PDN調整器930的程式,儲存裝置(例如硬碟、固態硬碟等)儲存異質電路輸入資料H_Die、異質電路PDN模型H_PDN、數位流程用的格式或檔案(例如但不受限於,格式DEF、LEF、LIB等)、數位電路PDN(D_PDN)及/或調整後數位電路PDN(D_PDN’)等。以上說明以伺服器為例示,然而電腦、筆記型電腦、平板電腦或行動裝置也可根據上述說明類推 實施,在此不再贅述。另外,本案實施例也可利用韌體來實施。
下表一比較出分別利用本案一實施例所建立的異質電路PDN模型、背景技術(未建立PDN模型)和異質電路的實際電路在進行數位系統電壓降分析之間的差異。從表一可以看到本案一實施例所建立出的模型的電壓降接近於實際電路的電壓降,且本案一實施例所建立的模型的模擬時間和資料量遠小於實際電路的模擬時間和資料量。故從實驗數據可以知道透過本案技術可以有效的模型化實際電路的PDN,且這個技術優點是背景技術無法達成。
在上表一中,「誤差」以實際電路的數值為基礎。上表一中的誤差計算方式為如下述,本案一實施例的誤差=[(|本案一實施例電壓降-實際電路電壓降|)/實際電路電壓降]* 100%;背景技術的誤差=[(|背景技術電壓降-實際電路電壓降|)/實際電路電壓降]* 100%,其中,符號“|”代表絕對值。由誤差比較可以 看出,本案一實施例所建立模型的誤差量極小。
下表二比較本案一實施例與背景技術間之差異,以說明本案一實施例之技術優點。
從表一可以知道本案一實施例其結果相較於背景技術較為準確,因此本案一實施例做出的電源網路模型做為設計或調整數位電路PDN參考的話,最後的可靠度會較背景技術高。從表二可以知道,由於背景技術沒有建立PDN模型,因此異質電路中幾乎沒有產生電壓降,主要的電壓降仍在數位電路中,因此需要使用更多的數位PDN面積去補強數位電路之PDN。此外,本案實施例由於有建立PDN模型,所以可透過此PDN模型支援更 多的推疊結構,而背景技術僅能當作負載端而無法支援更多的堆疊結構。
由上述可知,本案一實施例在進行電源供應網路模型數位化及產生數位電源供應網路模型時,並不需要異質電路的智財權與機密,所以可以避免危及智財權和洩漏機密(特別是,如果異質電路由第三方所提供)。
本案一實施例可以提供相容於數位設計流程的異質電路PDN模型,以進行異質系統整合分析。
本案一實施例可以提供滿足目標電壓降限制值的等效精簡模型,且計算量並不大。
相比於在沒有產生異質電路PDN模型下所做的分析,本案一實施例的分析結果更為精確,故能協助電路系統中的數位電路PDN的開發而不會太悲觀或太樂觀。
本案一實施例自動化產生異質電路的數位PDN模型,並且相容於目前數位設計流程,將異質電路(例如非數位電路)與數位電路(例如邏輯控制晶片)一起做電源供應網路模擬與分析。如此一來,原本無法預見的堆疊整合設計問題變得可預見,並可藉由修補來改善此電壓降問題。
綜上所述,雖然本案已以實施例揭露如上,然其並非用以限定本案。本案所屬技術領域中具有通常知識者,在不脫離本案之精神和範圍內,當可作各種之更動與潤飾。因此,本案之保護範圍當視後附之申請專利範圍所界定者為準。
230、310-350‧‧‧步驟

Claims (26)

  1. 一種產生電源供應網路模型之方法,包括:輸入一異質電路之一輸入資料;根據該異質電路之該輸入資料來決定複數條水平電源線及複數條垂直電源線;根據該些水平電源線與該些垂直電源線來決定該異質電路之一電源供應網路模型;將一功率消耗值分配於該電源供應網路模型的複數個內部節點上;以及調整該異質電路之該電源供應網路模型,以符合該輸入資料之一目標電壓降限制值。
  2. 如申請專利範圍第1項所述之方法,其中,該異質電路的該輸入資料包括:一晶片尺寸、該功率消耗值、一微凸塊分佈資料及/或該目標電壓降限制值。
  3. 如申請專利範圍第2項所述之方法,其中,根據該微凸塊分佈資料的複數個電源微凸塊的複數個位置來決定該些水平電源線及該些垂直電源線的複數個位置,其中,各電源微凸塊位於該些水平電源線之一與該些垂直電源線之一的一交叉處。
  4. 如申請專利範圍第3項所述之方法,其中,從該些電源微凸塊的該些位置映射,以得到M個第一投影點及N個第二投影點(M與N為正整數); 從該些第一投影點中的m點(mM,m為正整數)作水平延伸以產生該些水平電源線;以及從該些第二投影點中的n點(nN,n為正整數)作垂直延伸以產生該些垂直電源線,其中,該些水平電源線與該些垂直電源線的交會形成該電源供應網路模型。
  5. 如申請專利範圍第3項所述之方法,其中,該電源供應網路模型的一邊界根據該些電源微凸塊的該些位置而決定,或者,根據該晶片尺寸而決定。
  6. 如申請專利範圍第4項所述之方法,其中,將該功率消耗值分配於該異質電路之該電源供應網路模型的該些內部節點之該步驟包括:每個內部節點所分配的一內部節點功率消耗值等於p_con/(m*n-b),b代表該些電源微凸塊的個數,p_con代表該功率消耗值;或者每個內部節點所分配的該內部節點功率消耗值等於p_con/(m*n)。
  7. 如申請專利範圍第3項所述之方法,其中,當該異質電路之該電源供應網路模型包括一浮接電源微凸塊時,以一最短路徑將該浮接電源微凸塊連接至該異質電路之該電源供應網路模型。
  8. 如申請專利範圍第1項所述之方法,其中, 調整該電源供應網路模型的該些水平電源線與該些垂直電源線的至少一條的寬度,以讓該電源供應網路模型的各內部節點的各別電壓降符合該目標電壓降限制值。
  9. 一種電源供應網路分析方法,包括:輸入一數位電路之一電源供應網路;輸入一異質電路之一輸入資料;根據該異質電路之該輸入資料,產生如申請專利範圍第1項所述之該異質電路的一電源供應網路模型;對該數位電路之該電源供應網路與該異質電路的該電源供應網路模型進行數位系統電壓降分析;以及根據一電壓降分析結果,調整該數位電路的該電源供應網路。
  10. 如申請專利範圍第9項所述之方法,其中,調整該數位電路的該電源供應網路中的一條、多條及/或全部電源線的寬度,以符合一目標電壓降限制值;或者,增加或減少該數位電路的該電源供應網路的一電源線數量。
  11. 如申請專利範圍第9項所述之方法,其中,該異質電路的該輸入資料包括:一晶片尺寸、一功率消耗值、一微凸塊分佈資料及/或一目標電壓降限制值;產生該異質電路的該電源供應網路模型之該步驟包括:根據該異質電路之該輸入資料來決定複數條水平電源線及複數條垂直電源線; 根據該些水平電源線與該些垂直電源線來決定該異質電路之該電源供應網路模型;將該功率消耗值分配於該異質電路之該電源供應網路模型的複數個內部節點上;以及調整該異質電路之該電源供應網路模型,以符合該輸入資料之該目標電壓降限制值。
  12. 如申請專利範圍第11項所述之方法,其中,根據該微凸塊分佈資料的複數個電源微凸塊的複數個位置來決定該些水平電源線及該些垂直電源線的複數個位置,其中,各電源微凸塊位於該些水平電源線之一與該些垂直電源線之一的一交叉處。
  13. 如申請專利範圍第12項所述之方法,其中,從該些電源微凸塊的該些位置映射,以得到M個第一投影點及N個第二投影點(M與N為正整數);從該些第一投影點中的m點(mM,m為正整數)作水平延伸以產生該些水平電源線;以及從該些第二投影點中的n點(nN,n為正整數)作垂直延伸以產生該些垂直電源線,其中,該些水平電源線與該些垂直電源線的交會形成該異質電路之該電源供應網路模型。
  14. 如申請專利範圍第12項所述之方法,其中,該異質電路之該電源供應網路模型的一邊界根據該些電源 微凸塊的該些位置而決定,或者,根據該晶片尺寸而決定。
  15. 如申請專利範圍第13項所述之方法,其中,將該功率消耗值分配於該異質電路之該電源供應網路模型的該些內部節點之該步驟包括:每個內部節點所分配的一內部節點功率消耗值等於p_con/(m*n-b),b代表該些電源微凸塊的個數,p_con代表該功率消耗值;或者每個內部節點所分配的該內部節點功率消耗值等於p_con/(m*n)。
  16. 如申請專利範圍第12項所述之方法,其中,當該異質電路之該電源供應網路模型包括一浮接電源微凸塊時,以一最短路徑將該浮接電源微凸塊連接至該異質電路之該電源供應網路模型。
  17. 如申請專利範圍第11項所述之方法,其中,調整該異質電路之該電源供應網路模型的該些水平電源線與該些垂直電源線的至少一條的寬度,以讓該異質電路之該電源供應網路模型的各內部節點的各別電壓降符合該目標電壓降限制值。
  18. 一種電源供應網路分析裝置,包括:一異質電路電源供應網路模型產生器,接收一異質電路之一輸入資料,以產生如申請專利範圍第1項所述之該異質電路之一電源供應網路模型; 一電壓降分析器,對該異質電路之該電源供應網路模型與一數位電路之一電源供應網路進行數位系統電壓降分析;以及一數位電路電源供應網路調整器,根據該電壓降分析器的一分析結果而調整該數位電路的該電源供應網路。
  19. 如申請專利範圍第18項所述之裝置,其中,該數位電路電源供應網路調整器:調整該數位電路的該電源供應網路中的一條、多條及/或全部電源線的寬度,以符合一目標電壓降限制值;或者,增加或減少該數位電路的該電源供應網路的一電源線數量。
  20. 如申請專利範圍第18項所述之裝置,其中,該異質電路的該輸入資料包括:一晶片尺寸、一功率消耗值、一微凸塊分佈資料及/或該目標電壓降限制值;該異質電路電源供應網路模型產生器:根據該異質電路之該輸入資料來決定複數條水平電源線及複數條垂直電源線;根據該些水平電源線與該些垂直電源線來決定該異質電路之該電源供應網路模型;將該功率消耗值分配於該異質電路之該電源供應網路模型的複數個內部節點上;以及調整該異質電路之該電源供應網路模型,以符合該輸入資料之該目標電壓降限制值。
  21. 如申請專利範圍第20項所述之裝置,其中, 該異質電路電源供應網路模型產生器根據該微凸塊分佈資料的複數個電源微凸塊的複數個位置來決定該些水平電源線及該些垂直電源線的複數個位置,其中,各電源微凸塊位於該些水平電源線之一與該些垂直電源線之一的一交叉處。
  22. 如申請專利範圍第21項所述之裝置,其中,該異質電路電源供應網路模型產生器:從該些電源微凸塊的該些位置映射,以得到M個第一投影點及N個第二投影點(M與N為正整數);從該些第一投影點中的m點(mM,m為正整數)作水平延伸以產生該些水平電源線;以及從該些第二投影點中的n點(nN,n為正整數)作垂直延伸以產生該些垂直電源線,該些水平電源線與該些垂直電源線的交會形成該異質電路之該電源供應網路模型。
  23. 如申請專利範圍第21項所述之裝置,其中,根據該些電源微凸塊的該些位置,或者,根據該晶片尺寸,該異質電路電源供應網路模型產生器決定該異質電路之該電源供應網路模型的一邊界。
  24. 如申請專利範圍第22項所述之裝置,其中,該異質電路電源供應網路模型產生器分配一內部節點功率消耗值p_con/(m*n-b)到每個內部節點,b代表該些電源微凸塊的個數,p_con代表該功率消耗值;或者 該異質電路電源供應網路模型產生器分配該內部節點功率消耗值p_con/(m*n)到每個內部節點。
  25. 如申請專利範圍第21項所述之裝置,其中,當該異質電路之該電源供應網路模型包括一浮接電源微凸塊時,該異質電路電源供應網路模型產生器以一最短路徑將該浮接電源微凸塊連接至該異質電路之該電源供應網路模型。
  26. 如申請專利範圍第20項所述之裝置,其中,該數位電路電源供應網路調整器調整該異質電路之該電源供應網路模型的該些水平電源線與該些垂直電源線的至少一條的寬度,以讓該異質電路之該電源供應網路模型的各內部節點的各別電壓降符合該目標電壓降限制值。
TW104124054A 2015-07-24 2015-07-24 產生電源供應網路模型之方法,以及電源供應網路模型分析 方法與裝置 TWI559161B (zh)

Priority Applications (2)

Application Number Priority Date Filing Date Title
TW104124054A TWI559161B (zh) 2015-07-24 2015-07-24 產生電源供應網路模型之方法,以及電源供應網路模型分析 方法與裝置
US14/945,417 US10289141B2 (en) 2015-07-24 2015-11-18 Method for generating power distribution network (PDN) model, and power distribution network analysis method and device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW104124054A TWI559161B (zh) 2015-07-24 2015-07-24 產生電源供應網路模型之方法,以及電源供應網路模型分析 方法與裝置

Publications (2)

Publication Number Publication Date
TWI559161B true TWI559161B (zh) 2016-11-21
TW201705025A TW201705025A (zh) 2017-02-01

Family

ID=57837159

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104124054A TWI559161B (zh) 2015-07-24 2015-07-24 產生電源供應網路模型之方法,以及電源供應網路模型分析 方法與裝置

Country Status (2)

Country Link
US (1) US10289141B2 (zh)
TW (1) TWI559161B (zh)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI611310B (zh) * 2016-12-01 2018-01-11 財團法人工業技術研究院 電源容錯分析方法及系統
US11663388B1 (en) 2019-12-20 2023-05-30 Ansys, Inc. DVD simulation using microcircuits
US11531794B1 (en) 2019-12-20 2022-12-20 Ansys, Inc. Voltage drop analysis using local circuit representation
US10990731B1 (en) * 2019-12-20 2021-04-27 Ansys, Inc. Dynamic voltage drop analysis with improved coverage
US11308255B2 (en) * 2020-05-28 2022-04-19 Taiwan Semiconductor Manufacturing Co., Ltd. Generation of layout including power delivery network

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120198408A1 (en) * 2011-01-31 2012-08-02 Amit Chopra System and method for automatic extraction of power intent from custom analog/custom digital/mixed signal schematic designs
TWI425377B (zh) * 2008-06-13 2014-02-01 Power Integrations Inc 用於電源供應設計的方法及裝置
TW201407333A (zh) * 2012-03-28 2014-02-16 Nec Corp 電源系統樹設計支援系統以及電源系統樹設計方法
US20140089882A1 (en) * 2012-09-27 2014-03-27 Hon Hai Precision Industry Co., Ltd. Computing device and method for modularizing power supplies placed on pcb
US20150005972A1 (en) * 2011-12-15 2015-01-01 Nec Corporation Electric power system tree display system and electric power system tree display method

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7353490B2 (en) 2004-10-29 2008-04-01 Synopsys, Inc. Power network synthesizer for an integrated circuit design
CN100485695C (zh) 2006-05-12 2009-05-06 中国科学院微电子研究所 基于ic-封装-pcb协同设计的pi解决方法
US8560294B1 (en) 2008-02-20 2013-10-15 Xilinx, Inc. Method and apparatus for an automated input/output buffer information specification model generator
KR20100003911A (ko) 2008-07-02 2010-01-12 삼성전자주식회사 3차원 메쉬 기반 전력분배 네트워크를 갖는 멀티 칩 패키지및 이의 전력분배 방법
US8146032B2 (en) 2009-01-30 2012-03-27 Synopsys, Inc. Method and apparatus for performing RLC modeling and extraction for three-dimensional integrated circuit (3D-IC) designs
US8276002B2 (en) 2009-11-23 2012-09-25 International Business Machines Corporation Power delivery in a heterogeneous 3-D stacked apparatus
US8336018B2 (en) * 2010-06-09 2012-12-18 Lsi Corporation Power grid optimization
US8656329B1 (en) 2010-12-27 2014-02-18 Cadence Design Systems, Inc. System and method for implementing power integrity topology adapted for parametrically integrated environment
US8742618B2 (en) * 2011-03-25 2014-06-03 Mediatek Inc. Method for designing power distribution network of circuit system and related circuit system
CN202275460U (zh) 2011-10-28 2012-06-13 闵浩 基于双向加密认证技术和通信技术的电子锁控制管理系统
US8631372B2 (en) 2012-02-10 2014-01-14 Taiwan Semiconductor Manufacturing Co., Ltd. System and method of electromigration mitigation in stacked IC designs
US8707245B2 (en) 2012-02-27 2014-04-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device design method, system and computer-readable medium
CN102655101A (zh) 2012-03-30 2012-09-05 北京大学 3d芯片tsv互连的内建自测试及内建自修复技术
KR101458977B1 (ko) 2012-12-27 2014-11-10 한양대학교 산학협력단 3차원 전력 공급 네트워크에서 파워 패턴을 이용한 전력 관통전극 및 전력 범프의 개수를 최소화하는 방법
CN104217043A (zh) 2013-05-31 2014-12-17 国际商业机器公司 分析电源网络的方法和装置

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI425377B (zh) * 2008-06-13 2014-02-01 Power Integrations Inc 用於電源供應設計的方法及裝置
US20120198408A1 (en) * 2011-01-31 2012-08-02 Amit Chopra System and method for automatic extraction of power intent from custom analog/custom digital/mixed signal schematic designs
US20150005972A1 (en) * 2011-12-15 2015-01-01 Nec Corporation Electric power system tree display system and electric power system tree display method
TW201407333A (zh) * 2012-03-28 2014-02-16 Nec Corp 電源系統樹設計支援系統以及電源系統樹設計方法
US20140089882A1 (en) * 2012-09-27 2014-03-27 Hon Hai Precision Industry Co., Ltd. Computing device and method for modularizing power supplies placed on pcb

Also Published As

Publication number Publication date
US20170023961A1 (en) 2017-01-26
US10289141B2 (en) 2019-05-14
TW201705025A (zh) 2017-02-01

Similar Documents

Publication Publication Date Title
TWI559161B (zh) 產生電源供應網路模型之方法,以及電源供應網路模型分析 方法與裝置
US8418115B1 (en) Routability based placement for multi-die integrated circuits
US8661374B2 (en) Placement aware clock gate cloning and fanout optimization
US9330215B2 (en) Method and system for verifying the design of an integrated circuit having multiple tiers
Healy et al. Distributed TSV topology for 3-D power-supply networks
US8397190B2 (en) Method for manipulating and repartitioning a hierarchical integrated circuit design
US11748548B2 (en) Hierarchical clock tree implementation
US11574106B2 (en) Method, system, and storage medium of resource planning for designing semiconductor device
US20160042110A1 (en) High quality physical design for monolithic three-dimensional integrated circuits (3d ic) using two-dimensional integrated circuit (2d ic) design tools
US8863062B2 (en) Methods and apparatus for floorplanning and routing co-design
US6671867B2 (en) Analytical constraint generation for cut-based global placement
Lin et al. F-FM: Fixed-outline floorplanning methodology for mixed-size modules considering voltage-island constraint
Chang et al. ILP-based inter-die routing for 3D ICs
KR20210108546A (ko) 반도체 설계 시뮬레이션을 위한 명령들을 실행하는 컴퓨터 시스템으로 구현된 방법
Healy et al. Power-supply-network design in 3D integrated systems
Wang et al. TSF3D: MSV-driven power optimization for application-specific 3D network-on-chip
Minz et al. Block-level 3-D global routing with an application to 3-D packaging
Kim et al. PSI design solutions for high speed die-to-die interface in chiplet applications
TWI712904B (zh) 電子裝置及積體電路的佈局方法
US8762917B2 (en) Automatically modifying a circuit layout to perform electromagnetic simulation
Kai et al. Tofu: A two-step floorplan refinement framework for whitespace reduction
US20030074175A1 (en) Simulation by parts method for grid-based clock distribution design
JP6326756B2 (ja) スキャンパスを構成するための情報処理装置、スキャンパス接続方法及びプログラム
US11829698B2 (en) Guided power grid augmentation system and method
US20030074643A1 (en) Unified database system to store, combine, and manipulate clock related data for grid-based clock distribution design