CN102104069A - 鳍式晶体管结构及其制作方法 - Google Patents

鳍式晶体管结构及其制作方法 Download PDF

Info

Publication number
CN102104069A
CN102104069A CN2009102427689A CN200910242768A CN102104069A CN 102104069 A CN102104069 A CN 102104069A CN 2009102427689 A CN2009102427689 A CN 2009102427689A CN 200910242768 A CN200910242768 A CN 200910242768A CN 102104069 A CN102104069 A CN 102104069A
Authority
CN
China
Prior art keywords
fin
substrate
layer
transistor structure
gate electrode
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2009102427689A
Other languages
English (en)
Other versions
CN102104069B (zh
Inventor
骆志炯
尹海洲
朱慧珑
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Institute of Microelectronics of CAS
Original Assignee
Institute of Microelectronics of CAS
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Institute of Microelectronics of CAS filed Critical Institute of Microelectronics of CAS
Priority to CN2009102427689A priority Critical patent/CN102104069B/zh
Priority to US12/937,493 priority patent/US8450813B2/en
Priority to PCT/CN2010/074512 priority patent/WO2011072520A1/zh
Publication of CN102104069A publication Critical patent/CN102104069A/zh
Application granted granted Critical
Publication of CN102104069B publication Critical patent/CN102104069B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)

Abstract

本申请公开了一种鳍式晶体管结构及其制作方法。该鳍式晶体管结构包括在半导体衬底上形成的鳍,其中,该鳍中用作所述晶体管结构的沟道区的部位通过体半导体材料与衬底接触,而该鳍的其余部位通过绝缘体材料与衬底接触。根据本发明的鳍式晶体管结构,既能保持体接触结构的优点,又能减小漏电流。

Description

鳍式晶体管结构及其制作方法
技术领域
本申请一般地涉及半导体器件领域,更为具体地,涉及一种鳍式晶体管结构及其制作方法。
背景技术
鳍式晶体管结构如FinFET由于其良好的截止性能、可扩展性以及与常规制造工艺的兼容性而倍受关注。目前,常规的FinFET通常有两类:在绝缘体上硅(SOI)衬底上形成的FinFET,以及在体Si材料衬底上形成的FinFET(体FinFET)。与在SOI衬底上形成的FinFET相比,体FinFET具有众多优点,如低成本、低体效应、低反向偏置效应、高热传送。
参考文献1(Tai-su Park et al.,“Body-tied triple-gate NMOSFETfabrication using bulk Si wafer”,Solid-state Electronics 49(2005),377-383)中公开了一种利用体Si晶片制作的体接触三栅NMOSFET。该文章的图1中示出了这种FET的透视图,并且在图2中详细图示了制作这种FET的方法。如其中图1和2(f)所示,多晶硅的栅电极横跨鳍(Fin)形成,Fin构成该半导体器件的沟道。但是,如图2(f)中清楚所示,沟道下部被SiN和SiO2所围绕,从而栅电极无法对这一部分进行有效的控制。因而,即使在截止状态下,通过沟道下部也能够在源/漏之间形成电流路径,从而导致漏电流。
参考文献2(K.Okano et al.,“ Process Integration Technology andDevice Characteristics of CMOS FinFET on Bulk Silicon Substrate
为了解决这种漏电流问题,如参考文献2中所述,可以在沟道下部引入穿通阻挡(PTS)结构,以便抑制漏电流。为了在沟道下部形成PTS结构,通常需要进行高能离子注入。然而,这将会导致注入的掺杂物被注入到较大的范围,并且沟道区的杂质浓度较高(参见参考文献2的图5)。从而,这种结构将会具有大的结漏电流以及大的结电容。
因此,需要一种新颖的结构和方法来形成鳍式晶体管,其在保持体接触FinFET优点的同时,能够有效降低沟道下部的漏电流,而不会导致高结漏电流和高结电容。
发明内容
鉴于上述问题,本发明的目的在于提供一种鳍式晶体管结构及其制作方法,该鳍式晶体管结构在保持体接触结构的优点同时,还能够减小漏电流。
根据本发明的一个方面,提供了一种鳍式晶体管结构,包括在半导体衬底上形成的鳍,其中,该鳍中用作所述晶体管结构的沟道区的部位通过体半导体材料与衬底接触,而该鳍的其余部位通过绝缘体材料与衬底接触。优选地,所述用作沟道区的部位位于该鳍式晶体管结构的栅极区之下。
优选地,所述体半导体材料可以包括Ge、SiGe、SiC和GaAs之一,所述绝缘体材料可以包括SiO2或SiN。
优选地,栅极区包括栅电极,该栅电极通过栅极绝缘层与鳍相交。进一步优选地,栅极绝缘层可以包括SiO2、SiON、或高k材料,栅电极可以包括多晶硅栅电极或金属栅电极。进一步优选地,金属栅电极可以包括TiN、TiAlN、或TaN。
根据本发明的另一方面,提供了一种制作鳍式晶体管结构的方法,包括:在衬底上形成鳍,其中,在该鳍中将充当晶体管结构的沟道区的部位与衬底之间形成体半导体材料,而在该鳍的其余部位与衬底之间形成绝缘体材料;以及以上述形成有鳍的衬底为基础,制作晶体管结构。
优选地,在衬底上形成鳍的步骤包括:在所述衬底上依次形成所述体半导体材料的层、鳍主体材料的层;将所述体半导体材料的层和所述鳍主体材料的层构图为与将要形成的鳍相对应的图案;在衬底包括所形成的图案上,形成刻蚀保护层;对所述刻蚀保护层进行构图,使得该刻蚀保护层留在将要形成的栅极区相对应的部位处,而不存在于其余部位处;对经过上述处理的衬底进行选择性刻蚀,以去除所述其余部位处位于鳍主体材料层之下的所述体半导体材料;以所述绝缘体材料填充所述鳍主体材料层之下的通过上述选择性刻蚀而导致的空间;以及去除所述刻蚀保护层。
优选地,所述体半导体材料可以包括Ge、SiGe、SiC和GaAs之一,以及所述鳍主体材料可以包括Si。优选地,所述绝缘体材料可以包括SiO2或SiN,所述刻蚀保护层可以包括SiN。
优选地,以形成有鳍的衬底为基础制作晶体管结构的步骤包括:在形成有鳍的衬底上形成缓冲层;在缓冲层上形成阻挡层;在阻挡层上形成隔离层,并对该隔离层进行化学机械抛光,直至露出阻挡层;去除鳍顶部的阻挡层,并去除一部分隔离层使隔离层凹入;对鳍两侧的阻挡层以及阻挡层两侧的一部分隔离层进行刻蚀;对露出的缓冲层位于与将要形成的栅极区相对应的部位进行刻蚀,以露出鳍主体;在露出的鳍主体上形成栅极绝缘层;以及在与将要形成的栅极区相对应的位置形成栅电极。
优选地,所述缓冲层可以包括SiO2,所述阻挡层可以包括SiN,所述隔离层可以包括SiO2
优选地,栅极绝缘层可以包括SiO2、SiON、或高k材料,栅电极可以包括多晶硅栅电极或金属栅电极。进一步优选地,金属栅电极可以包括TiN、TiAlN、或TaN。
在根据本发明的鳍式晶体管结构中,沟道区通过体材料如Ge、SiGe、SiC或GaAs与衬底接触,从而形成体接触结构。这确保了本发明的鳍式晶体管结构能够保持体接触FinFET的优点。另外,鳍的其他部位通过绝缘体与衬底接触,从而形成类似于SOI的结构,这有效减小了漏电流。由于在本发明中并不使用类似于PST的手段(需要使用高掺杂),因而无需担心由于高掺杂导致的高结漏电流和高结电容。
附图说明
通过以下参照附图对本发明实施例的描述,本发明的上述以及其他目的、特征和优点将更为清楚,在附图中:
图1~3示出了根据本发明实施例的制作方法流程中的中间结构图,其中各图中(a)为透视图,(b)为截面图;
图4~6示出了根据本发明实施例的制作方法流程中的中间结构图,其中各图中(a)为透视图,(b)为沿A-A′线的截面图,(c)为沿B-B′线的截面图;
图7示出了根据本发明实施例的鳍结构图,其中图7(a)为透视图,图7(b)为沿A-A′线的截面图,图7(c)为B-B′线的截面图;以及
图8~15示出了根据本发明实施例的以上述鳍结构为基础制作鳍式晶体管结构的流程中各阶段的结构图,其中各图中(a)为透视图,(b)为沿A-A′线的截面图,(c)为沿B-B′线的截面图,图15(d)为沿C-C′线的截面图。
具体实施方式
以下,通过附图中示出的具体实施例来描述本发明。但是应该理解,这些描述只是示例性的,而并非要限制本发明的范围。此外,在以下说明中,省略了对公知结构和技术的描述,以避免不必要地混淆本发明的概念。
在附图中示出了根据本发明实施例的半导体器件的各种结构图及截面图。这些图并非是按比例绘制的,其中为了清楚的目的,放大了某些细节,并且可能省略了某些细节。图中所示出的各种区域、层的形状以及它们之间的相对大小、位置关系仅是示例性的,实际中可能由于制造公差或技术限制而有所偏差,并且本领域技术人员根据实际所需可以另外设计具有不同形状、大小、相对位置的区域/层。
根据本发明的实施例,提供了一种新颖的鳍(Fin)结构及其形成方法。该鳍的沟道区通过体半导体材料与衬底接触,其余部位则通过绝缘体材料与衬底接触。结果,对于沟道区而言,其位于体材料之上,从而具有如同体FinFET一样的优点;而对于其余部位而言,则位于绝缘体之上,如同形成在SOI衬底上的FinFET一样,可以大大减小漏电流。
图1示出了根据本发明实施例的制作方法流程中的中间结构图,其中图1(a)为透视图,图1(b)为截面图。
如图1所示,在半导体基板1001上依次形成沟道区体接触层1002以及鳍主体层1003。这里,半导体基板1001以及沟道区体接触层1002、鳍主体层1003优选地均由体半导体材料构成。例如,半导体基板1001/沟道区体接触层1002/鳍主体层1003的叠层可以是(体)Si/(体)Ge/(体)Si的结构。当然,本领域技术人员也可以想到其他不同的材料组合。例如,可选地,可以使用SiGe、SiC和GaAs之一来形成所述沟道区体接触层1002。
图2示出了根据本发明实施例的制作方法流程中的中间结构图,其中图2(a)为透视图,图2(b)为截面图。
如图2所示,对图1所示的结构进行构图,例如通过掩模曝光、刻蚀等技术手段,使得沟道区体接触层1002和鳍主体层1003成形为与将要形成的Fin对应的形状。
图3示出了根据本发明实施例的制作方法流程中的中间结构图,其中图3(a)为透视图,图3(b)为截面图。
如图3所示,在图2所示的结构上形成一刻蚀保护层1004,例如可以通过化学气相沉积(CVD)来实现。这一刻蚀保护层1004用来在随后对沟道区体接触层1002进行刻蚀时保护沟道区下部的沟道区体接触层1002不被去除。因此,该刻蚀保护层1004的材料应该选择为能够经受对沟道区体接触层1002进行刻蚀时使用的刻蚀剂。例如,可以选择SiN材料来形成该刻蚀保护层1004。
图4示出了根据本发明实施例的制作方法流程中的中间结构图,其中图4(a)为透视图,图4(b)为沿图4(a)中A-A′线的截面图,图4(c)为沿图4(a)中B-B′线的截面图。
如图4所示,对于图3中所形成的刻蚀保护层1004进行构图(例如,通过光刻),使得该层留在与将要形成的栅极区相对应的部位处,以便保护处于沟道区下部的沟道区体接触层1002。
图5示出了根据本发明实施例的制作方法流程中的中间结构图,其中图5(a)为透视图,图5(b)为沿A-A′线的截面图,图5(c)为B-B′线的截面图(为了清楚起见,这里并没有示出A-A′线、B-B′线,它们与图4所示相同,以下各图均类似)。
如图5所示,对图4中的结构进行选择性刻蚀。具体地,利用对沟道区体接触层1002以及鳍主体层1003具有选择性刻蚀作用的刻蚀剂,这种刻蚀剂可以刻蚀沟道区体接触层1002,而不会对鳍主体层1003造成影响(或者影响很小乃至可以忽略)。而由于刻蚀保护层1004,位于沟道区下部的沟道区体接触层1002得以保留(参见图5(b));而在其余部位处,沟道区体接触层1002已被去除(参见图5(c))。
图6示出了根据本发明实施例的制作方法流程中的中间结构图,其中图6(a)为透视图,图6(b)为沿A-A′线的截面图,图6(c)为B-B′线的截面图。
如图6所示,对图5中鳍主体层1003之下由于选择性刻蚀而导致的空隙,以绝缘体材料1005进行填充。这种绝缘体材料例如可以SiO2或SiN。填充例如可以通过如下方式完成:在图5所示的结构上沉积绝缘体材料,然后利用反应离子刻蚀(RIE)进行回蚀。
图7示出了根据本发明实施例的鳍结构图,其中图7(a)为透视图,图7(b)为沿A-A′线的截面图,图7(c)为B-B′线的截面图。
如图7所示,对于图6中的结构,例如通过选择性刻蚀,去除残留的刻蚀保护层1004,从而最终得到根据本发明的鳍结构。在该鳍结构中,鳍主体层1003在沟道区通过沟道区体接触层1002(由体半导体材料如Ge、SiGe、SiC或GaAs形成)与衬底1001接触(参见图7(b))。即,在沟道区形成了体接触。另外,在其他部位处,鳍主体层1003则通过绝缘体材料1005与衬底接触(参见图7(c)),从而形成类似SOI的结构。
以上描述的是形成根据本发明的鳍结构的一个实施例。本领域普通技术人员可以设计其他方法来形成这种鳍结构。
在如上所述在衬底上形成了鳍结构之后,可以有多种方式来接着形成各种鳍式晶体管结构。下面,仅以其中一种为例来进行说明,以便本领域的技术人员能够更好地理解本发明。
图8~15示出了根据本发明实施例的以上述鳍结构为基础制作鳍式晶体管结构的流程中各阶段的结构图,其中各图中(a)为透视图,(b)为沿A-A′线的截面图,(c)为B-B′线的截面图。
如图8所示,在图7所示的形成有鳍的衬底上形成(例如,沉积)缓冲层1006。该缓冲层1006例如可以由SiO2材料形成。之后,如图9所示,在缓冲层1006继续形成(例如,沉积)阻挡层1007。该阻挡层1007例如可以由SiN材料形成。然后,如图10所示,在所得到的结构上沉积隔离层1008。该隔离层1008例如可以由SiO2形成。优选地,对沉积的隔离层1008进行化学机械抛光(CMP),直至露出阻挡层1007。
随后,如图11所示,对位于鳍顶部的阻挡层1007进行选择性刻蚀,去除这一部分的阻挡层1007。另外,去除一部分的隔离层1008使隔离层1008凹入。接着,如图12所示,进一步刻蚀鳍两侧的阻挡层1007及部分隔离层1008,以更多地露出鳍结构。随后,如图13所示,在与将要形成的栅极区相对应的部位,刻蚀掉缓冲层1006,以露出鳍主体层1003(这部分鳍主体层1003对应于沟道区)。
然后,如图14所示,在露出的鳍主体层1003上形成栅极绝缘层1009。该栅极绝缘层1009例如可以由SiO2、SiON或高k材料形成。接着,如图15所示,形成栅电极1010。该栅电极1010横跨鳍,通过栅极绝缘层1009与鳍主体层1003相交。栅电极1010可以为多晶硅栅电极,或者可以为金属栅电极如TiN、TiAlN、TaN等。
在形成栅电极之后,可以通过离子注入等对源/漏极区进行掺杂,从而最终形成根据本发明实施例的晶体管结构。这种源/漏极区与本发明的主旨并无太大关联,在此不再赘述。
图15(d)中示出了最终得到的晶体管结构沿C-C′线的截面图。可以清楚地看到,鳍主体层1003位于栅电极1010之下的部位(对应于沟道区)通过沟道区体接触层1002与衬底1001形成体接触。该沟道区体接触层1002周围被绝缘体1005所包围,从而可以切断漏电流的路径,并因此大大减小了沟道区下部的漏电流。
尽管以上实施例中以图15中所示的晶体管结构为例来进行说明,但是本领域技术人员应当认识到,以根据本发明的鳍结构为基础,可以制作出多种鳍式晶体管结构,如双栅FinFET、三栅FinFET等,而不仅限于图15中所示的结构。
在以上的描述中,对于各层的构图、刻蚀等技术细节并没有做出详细的说明。但是本领域技术人员应当理解,可以通过现有技术中的各种手段,来形成所需形状的层、区域等。另外,为了形成同一结构,本领域技术人员还可以设计出与以上描述的方法并不完全相同的方法。
以上参照本发明的实施例对本发明予以了说明。但是,这些实施例仅仅是为了说明的目的,而并非为了限制本发明的范围。本发明的范围由所附权利要求及其等价物限定。不脱离本发明的范围,本领域技术人员可以做出多种替换和修改,这些替换和修改都应落在本发明的范围之内。

Claims (20)

1.一种鳍式晶体管结构,包括在半导体衬底上形成的鳍,
其中,该鳍中用作所述晶体管结构的沟道区的部位通过体半导体材料与衬底接触,而该鳍的其余部位通过绝缘体材料与衬底接触。
2.如权利要求1所述的鳍式晶体管结构,其中,所述用作沟道区的部位位于该鳍式晶体管结构的栅极区之下。
3.如权利要求1或2所述的鳍式晶体管结构,其中,所述体半导体材料包括Ge、SiGe、SiC和GaAs之一。
4.如权利要求1或2所述的鳍式晶体管结构,其中,所述绝缘体材料包括SiO2或SiN。
5.如权利要求2所述的鳍式晶体管结构,其中,栅极区包括栅电极,该栅电极通过栅极绝缘层与鳍相交。
6.如权利要求5所述的鳍式晶体管结构,其中,栅极绝缘层包括SiO2、SiON、或高k材料。
7.如权利要求5或6所述的鳍式晶体管结构,其中,栅电极包括多晶硅栅电极或金属栅电极。
8.如权利要求7所述的鳍式晶体管结构,其中,所述金属栅电极包括TiN、TiAlN、或TaN。
9.一种制作鳍式晶体管结构的方法,包括:
在衬底上形成鳍,其中,在该鳍中将充当晶体管结构的沟道区的部位与衬底之间形成体半导体材料,而在该鳍的其余部位与衬底之间形成绝缘体材料;以及
以上述形成有鳍的衬底为基础,制作晶体管结构。
10.如权利要求9所述的方法,其中,在衬底上形成鳍的步骤包括:
在所述衬底上依次形成所述体半导体材料的层、鳍主体材料的层;
将所述体半导体材料的层和所述鳍主体材料的层构图为与将要形成的鳍相对应的图案;
在衬底包括所形成的图案上,形成刻蚀保护层;
对所述刻蚀保护层进行构图,使得该刻蚀保护层留在将要形成的栅极区相对应的部位处,而不存在于其余部位处;
对经过上述处理的衬底进行选择性刻蚀,以去除所述其余部位处位于鳍主体材料层之下的所述体半导体材料;
以所述绝缘体材料填充所述鳍主体材料层之下的通过上述选择性刻蚀而导致的空间;以及
去除所述刻蚀保护层。
11.如权利要求10所述的方法,其中,所述体半导体材料包括Ge、SiGe、SiC和GaAs之一,以及所述鳍主体材料包括Si。
12.如权利要求10所述的方法,其中,所述绝缘体材料包括SiO2或SiN。
13.如权利要求10所述的方法,其中,所述刻蚀保护层包括SiN。
14.如权利要求9所述的方法,其中,以形成有鳍的衬底为基础制作晶体管结构的步骤包括:
在形成有鳍的衬底上形成缓冲层;
在缓冲层上形成阻挡层;
在阻挡层上形成隔离层,并对该隔离层进行化学机械抛光,直至露出阻挡层;
去除鳍顶部的阻挡层,并去除一部分隔离层使隔离层凹入;
对鳍两侧的阻挡层以及阻挡层两侧的一部分隔离层进行刻蚀;
对露出的缓冲层位于与将要形成的栅极区相对应的部位进行刻蚀,以露出鳍主体;
在露出的鳍主体上形成栅极绝缘层;以及
在与将要形成的栅极区相对应的位置形成栅电极。
15.如权利要求14所述的方法,其中,所述缓冲层包括SiO2
16.如权利要求14所述的方法,其中,所述阻挡层包括SiN。
17.如权利要求14所述的方法,其中,所述隔离层包括SiO2
18.如权利要求14所述的方法,其中,所述栅极绝缘层包括SiO2、SiON、或高k材料。
19.如权利要求14所述的方法,其中,所述栅电极包括多晶硅栅电极或金属栅电极。
20.如权利要求19所述的方法,其中,所述金属栅电极包括TiN、TiAlN、或TaN。
CN2009102427689A 2009-12-16 2009-12-16 鳍式晶体管结构及其制作方法 Active CN102104069B (zh)

Priority Applications (3)

Application Number Priority Date Filing Date Title
CN2009102427689A CN102104069B (zh) 2009-12-16 2009-12-16 鳍式晶体管结构及其制作方法
US12/937,493 US8450813B2 (en) 2009-12-16 2010-06-25 Fin transistor structure and method of fabricating the same
PCT/CN2010/074512 WO2011072520A1 (zh) 2009-12-16 2010-06-25 鳍式晶体管结构及其制作方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN2009102427689A CN102104069B (zh) 2009-12-16 2009-12-16 鳍式晶体管结构及其制作方法

Publications (2)

Publication Number Publication Date
CN102104069A true CN102104069A (zh) 2011-06-22
CN102104069B CN102104069B (zh) 2012-11-21

Family

ID=44156716

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2009102427689A Active CN102104069B (zh) 2009-12-16 2009-12-16 鳍式晶体管结构及其制作方法

Country Status (3)

Country Link
US (1) US8450813B2 (zh)
CN (1) CN102104069B (zh)
WO (1) WO2011072520A1 (zh)

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102903750A (zh) * 2011-07-27 2013-01-30 中国科学院微电子研究所 一种半导体场效应晶体管结构及其制备方法
CN102903749A (zh) * 2011-07-27 2013-01-30 中国科学院微电子研究所 一种半导体器件结构及其制造方法
CN103515215A (zh) * 2012-06-28 2014-01-15 中芯国际集成电路制造(上海)有限公司 一种鳍式场效应管制作方法
CN103794512A (zh) * 2014-01-15 2014-05-14 上海新储集成电路有限公司 双Finfet晶体管及其制备方法
WO2014071665A1 (zh) * 2012-11-09 2014-05-15 中国科学院微电子研究所 半导体器件及其制造方法
WO2014071659A1 (zh) * 2012-11-09 2014-05-15 中国科学院微电子研究所 半导体器件及其制造方法
CN103811340A (zh) * 2012-11-09 2014-05-21 中国科学院微电子研究所 半导体器件及其制造方法
WO2014110852A1 (zh) * 2013-01-15 2014-07-24 中国科学院微电子研究所 半导体器件及其制造方法
CN103972098A (zh) * 2013-01-30 2014-08-06 意法半导体公司 用于在体半导体晶片上形成finfet/三栅极器件的方法
WO2015054916A1 (zh) * 2013-10-14 2015-04-23 中国科学院微电子研究所 一种FinFET结构及其制造方法
CN105448968A (zh) * 2015-10-15 2016-03-30 格科微电子(上海)有限公司 鳍式场效应晶体管的制作方法
CN106847695A (zh) * 2015-12-07 2017-06-13 中芯国际集成电路制造(上海)有限公司 鳍式场效应管的形成方法
CN107464846A (zh) * 2016-06-02 2017-12-12 三星电子株式会社 场效应晶体管和半导体结构

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5718585B2 (ja) * 2010-05-19 2015-05-13 ピーエスフォー ルクスコ エスエイアールエルPS4 Luxco S.a.r.l. 半導体装置及びその製造方法、並びにデータ処理システム
KR101805634B1 (ko) * 2011-11-15 2017-12-08 삼성전자 주식회사 Ⅲ-ⅴ족 배리어를 포함하는 반도체 소자 및 그 제조방법
KR101857582B1 (ko) 2011-12-20 2018-05-14 인텔 코포레이션 반도체 구조물 및 제조 방법
US9287178B2 (en) * 2012-10-01 2016-03-15 Globalfoundries Inc. Multi-gate field effect transistor (FET) including isolated fin body
FR3002813B1 (fr) 2013-03-01 2016-08-05 St Microelectronics Sa Procede de fabrication d'un transistor mos a ailette
EP2775528B1 (en) * 2013-03-05 2019-07-17 IMEC vzw Passivated III-V or Ge fin-shaped field effect transistor
US9583590B2 (en) 2013-09-27 2017-02-28 Samsung Electronics Co., Ltd. Integrated circuit devices including FinFETs and methods of forming the same
US9620642B2 (en) * 2013-12-11 2017-04-11 Globalfoundries Singapore Pte. Ltd. FinFET with isolation
KR20150073290A (ko) * 2013-12-20 2015-07-01 삼성전자주식회사 Ⅲ-ⅴ족 반도체 트랜지스터 및 그 제조방법
US9159552B2 (en) * 2013-12-27 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a germanium-containing FinFET
US9224841B2 (en) 2014-01-23 2015-12-29 Globalfoundries Inc. Semiconductor fins on a trench isolation region in a bulk semiconductor substrate and a method of forming the semiconductor fins
US9780216B2 (en) 2014-03-19 2017-10-03 Taiwan Semiconductor Manufacturing Company, Ltd. Combination FinFET and methods of forming same
US9685501B2 (en) 2014-04-02 2017-06-20 International Business Machines Corporation Low parasitic capacitance finFET device
US9299618B1 (en) 2014-09-24 2016-03-29 International Business Machines Corporation Structure and method for advanced bulk fin isolation
US9741811B2 (en) 2014-12-15 2017-08-22 Samsung Electronics Co., Ltd. Integrated circuit devices including source/drain extension regions and methods of forming the same
US9698225B2 (en) 2015-07-07 2017-07-04 International Business Machines Corporation Localized and self-aligned punch through stopper doping for finFET
US9590038B1 (en) 2015-10-23 2017-03-07 Samsung Electronics Co., Ltd. Semiconductor device having nanowire channel
US11594637B2 (en) * 2020-03-27 2023-02-28 Intel Corporation Gate-all-around integrated circuit structures having fin stack isolation

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6174754B1 (en) * 2000-03-17 2001-01-16 Taiwan Semiconductor Manufacturing Company Methods for formation of silicon-on-insulator (SOI) and source/drain-on-insulator(SDOI) transistors
US7358121B2 (en) * 2002-08-23 2008-04-15 Intel Corporation Tri-gate devices and methods of fabrication
US6844238B2 (en) * 2003-03-26 2005-01-18 Taiwan Semiconductor Manufacturing Co., Ltd Multiple-gate transistors with improved gate control
KR100553683B1 (ko) * 2003-05-02 2006-02-24 삼성전자주식회사 반도체 소자 및 그 제조 방법
US6808994B1 (en) * 2003-06-17 2004-10-26 Micron Technology, Inc. Transistor structures and processes for forming same
US7456476B2 (en) * 2003-06-27 2008-11-25 Intel Corporation Nonplanar semiconductor device with partially or fully wrapped around gate electrode and methods of fabrication
US6921700B2 (en) * 2003-07-31 2005-07-26 Freescale Semiconductor, Inc. Method of forming a transistor having multiple channels
US6933183B2 (en) 2003-12-09 2005-08-23 International Business Machines Corporation Selfaligned source/drain FinFET process flow
JP5056011B2 (ja) * 2004-06-10 2012-10-24 日本電気株式会社 半導体装置及びその製造方法、FinFETの製造方法
US7352034B2 (en) * 2005-08-25 2008-04-01 International Business Machines Corporation Semiconductor structures integrating damascene-body FinFET's and planar devices on a common substrate and methods for forming such semiconductor structures
KR100707208B1 (ko) * 2005-12-24 2007-04-13 삼성전자주식회사 Gaa 구조의 핀-펫 및 그 제조 방법
JP2007207837A (ja) 2006-01-31 2007-08-16 Toshiba Corp 半導体装置および半導体装置の製造方法
US7655989B2 (en) * 2006-11-30 2010-02-02 International Business Machines Corporation Triple gate and double gate finFETs with different vertical dimension fins
EP2073267A1 (en) * 2007-12-19 2009-06-24 INTERUNIVERSITAIR MICROELEKTRONICA CENTRUM vzw (IMEC) Method of fabricating multi-gate semiconductor devices and devices obtained
US8106459B2 (en) * 2008-05-06 2012-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs having dielectric punch-through stoppers
US8048723B2 (en) * 2008-12-05 2011-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Germanium FinFETs having dielectric punch-through stoppers
US8263462B2 (en) * 2008-12-31 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Dielectric punch-through stoppers for forming FinFETs having dual fin heights
CN102117829B (zh) * 2009-12-30 2012-11-21 中国科学院微电子研究所 鳍式晶体管结构及其制作方法
US8653610B2 (en) * 2010-04-21 2014-02-18 International Business Machines Corporation High performance non-planar semiconductor devices with metal filled inter-fin gaps

Cited By (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8895374B2 (en) 2011-07-27 2014-11-25 Institute of Microelectronics, Chinese Academy of Sciences Semiconductor field-effect transistor structure and method for manufacturing the same
CN102903749A (zh) * 2011-07-27 2013-01-30 中国科学院微电子研究所 一种半导体器件结构及其制造方法
WO2013013472A1 (zh) * 2011-07-27 2013-01-31 中国科学院微电子研究所 一种半导体场效应晶体管结构及其制备方法
CN102903750B (zh) * 2011-07-27 2015-11-25 中国科学院微电子研究所 一种半导体场效应晶体管结构及其制备方法
CN102903750A (zh) * 2011-07-27 2013-01-30 中国科学院微电子研究所 一种半导体场效应晶体管结构及其制备方法
CN102903749B (zh) * 2011-07-27 2015-04-15 中国科学院微电子研究所 一种半导体器件结构及其制造方法
CN103515215A (zh) * 2012-06-28 2014-01-15 中芯国际集成电路制造(上海)有限公司 一种鳍式场效应管制作方法
CN103515215B (zh) * 2012-06-28 2016-06-29 中芯国际集成电路制造(上海)有限公司 一种鳍式场效应管制作方法
US20150287828A1 (en) * 2012-11-09 2015-10-08 Institute of Microelectronics, Chinese Academy of Sciences Semiconductor devices and methods for manufacturing the same
CN103811340A (zh) * 2012-11-09 2014-05-21 中国科学院微电子研究所 半导体器件及其制造方法
US9564434B2 (en) 2012-11-09 2017-02-07 Institute of Microelectronics, Chinese Academy of Sciences Semiconductor device with body spacer at the bottom of the fin and method for manufacturing the same
CN103811345B (zh) * 2012-11-09 2016-08-03 中国科学院微电子研究所 半导体器件及其制造方法
CN103811346A (zh) * 2012-11-09 2014-05-21 中国科学院微电子研究所 半导体器件及其制造方法
CN103811345A (zh) * 2012-11-09 2014-05-21 中国科学院微电子研究所 半导体器件及其制造方法
US10008602B2 (en) * 2012-11-09 2018-06-26 Institute of Microelectronics, Chinese Academy of Sciences Semiconductor devices and methods for manufacturing the same
CN103811346B (zh) * 2012-11-09 2017-03-01 中国科学院微电子研究所 半导体器件及其制造方法
WO2014071659A1 (zh) * 2012-11-09 2014-05-15 中国科学院微电子研究所 半导体器件及其制造方法
WO2014071665A1 (zh) * 2012-11-09 2014-05-15 中国科学院微电子研究所 半导体器件及其制造方法
CN103811340B (zh) * 2012-11-09 2017-07-14 中国科学院微电子研究所 半导体器件及其制造方法
US9349867B2 (en) 2012-11-09 2016-05-24 Institute of Microelectronics, Chinese Academy of Sciences Semiconductor devices and methods for manufacturing the same
US9583621B2 (en) 2013-01-15 2017-02-28 The Institute of Microelectronics of Chinese Academy of Sciences Semiconductor device and method of manufacturing the same
WO2014110852A1 (zh) * 2013-01-15 2014-07-24 中国科学院微电子研究所 半导体器件及其制造方法
CN103972098A (zh) * 2013-01-30 2014-08-06 意法半导体公司 用于在体半导体晶片上形成finfet/三栅极器件的方法
CN104576383A (zh) * 2013-10-14 2015-04-29 中国科学院微电子研究所 一种FinFET结构及其制造方法
CN104576383B (zh) * 2013-10-14 2017-09-12 中国科学院微电子研究所 一种FinFET结构及其制造方法
WO2015054916A1 (zh) * 2013-10-14 2015-04-23 中国科学院微电子研究所 一种FinFET结构及其制造方法
CN103794512A (zh) * 2014-01-15 2014-05-14 上海新储集成电路有限公司 双Finfet晶体管及其制备方法
CN105448968A (zh) * 2015-10-15 2016-03-30 格科微电子(上海)有限公司 鳍式场效应晶体管的制作方法
CN105448968B (zh) * 2015-10-15 2020-05-12 格科微电子(上海)有限公司 鳍式场效应晶体管的制作方法
CN106847695A (zh) * 2015-12-07 2017-06-13 中芯国际集成电路制造(上海)有限公司 鳍式场效应管的形成方法
CN107464846A (zh) * 2016-06-02 2017-12-12 三星电子株式会社 场效应晶体管和半导体结构
CN107464846B (zh) * 2016-06-02 2022-03-01 三星电子株式会社 场效应晶体管和半导体结构
US11411111B2 (en) 2016-06-02 2022-08-09 Samsung Electronics Co., Ltd. Field effect transistor including multiple aspect trapping ratio structures
US11843051B2 (en) 2016-06-02 2023-12-12 Samsung Electronics Co., Ltd. Field effect transistor including multiple aspect trapping ratio structures

Also Published As

Publication number Publication date
CN102104069B (zh) 2012-11-21
WO2011072520A1 (zh) 2011-06-23
US8450813B2 (en) 2013-05-28
US20110298050A1 (en) 2011-12-08

Similar Documents

Publication Publication Date Title
CN102104069B (zh) 鳍式晶体管结构及其制作方法
CN102117829B (zh) 鳍式晶体管结构及其制作方法
US11699701B2 (en) Semiconductor device
CN102157554A (zh) 鳍式晶体管结构及其制作方法
KR101729439B1 (ko) 매립된 절연체층을 가진 finfet 및 그 형성 방법
US9754842B2 (en) FinFET with dummy gate on non-recessed shallow trench isolation (STI)
US9287129B2 (en) Method of fabricating FinFETs
US7659157B2 (en) Dual metal gate finFETs with single or dual high-K gate dielectric
US9136320B2 (en) Field effect transistor
US9773871B2 (en) Fin field effect transistor and method for fabricating the same
US10872968B2 (en) Semiconductor device
US20170140992A1 (en) Fin field effect transistor and method for fabricating the same
US10177143B2 (en) FinFET device and method for fabricating the same
CN102034868A (zh) 半导体装置及场效应晶体管
CN102074506A (zh) 鳍式场效应晶体管元件的制作方法
CN106158831B (zh) 一种半导体器件及其制作方法和电子装置
CN104637818A (zh) 用于制造鳍片场效应晶体管器件的方法和鳍片场效应晶体管器件
CN105355652A (zh) 金属栅极结构及其制造方法
CN109003899B (zh) 半导体结构及其形成方法、鳍式场效应晶体管的形成方法
CN103578946A (zh) 一种半导体器件的形成方法
US10586713B2 (en) Semiconductor device and fabrication method thereof
CN103107090B (zh) 制造半导体器件的方法
CN103165455A (zh) 制作鳍形场效应晶体管的方法
CN111199885B (zh) 鳍片结构及其制造方法
CN110767750A (zh) 半导体器件及其制造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant