CN101322239A - 同一个衬底上具有相同导电类型的低和高性能器件的半导体器件结构 - Google Patents

同一个衬底上具有相同导电类型的低和高性能器件的半导体器件结构 Download PDF

Info

Publication number
CN101322239A
CN101322239A CNA200680045213XA CN200680045213A CN101322239A CN 101322239 A CN101322239 A CN 101322239A CN A200680045213X A CNA200680045213X A CN A200680045213XA CN 200680045213 A CN200680045213 A CN 200680045213A CN 101322239 A CN101322239 A CN 101322239A
Authority
CN
China
Prior art keywords
grid
effect transistor
field
semiconductor device
distance piece
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CNA200680045213XA
Other languages
English (en)
Inventor
J·阿诺德
D·查尔达姆巴劳
李瑛�
拉齐夫·马利克
施里施·纳拉西姆哈
S·潘达
B·特希尔
R·维斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of CN101322239A publication Critical patent/CN101322239A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823864Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0922Combination of complementary transistors having a different structure, e.g. stacked CMOS, high-voltage and low-voltage CMOS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7843Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)

Abstract

一种用于制作半导体器件结构的方法,包括:提供衬底(10);在衬底上形成具有第一间隔件(SP)的第一栅极(G1)、具有第二间隔件(SP)的第二栅极(G2)、分别与第一栅极和第二栅极相邻的相同导电类型的各个源极(S)和漏极(D)区域、设置在第一栅极和第二栅极中间的隔离区域(STI)、以及在第一栅极、第二栅极和各个源极与漏极区域上的硅化物;在第一间隔件上形成附加间隔件(RSPS),以产生中间结构,然后在整个中间结构上设置应力层。

Description

同一个衬底上具有相同导电类型的低和高性能器件的半导体器件结构
技术领域
本发明涉及用于制作金属氧化物半导体(MOS)器件结构的方法,更具体地,涉及用于使用间隔件和膜来影响MOS场效应晶体管(例如,nFET、pFET)的沟道应力和性能的方法,并且涉及最终得到的器件结构。
背景技术
MOSFET及其各种制造方法是熟知的。典型地,MOSFET包括在适当的衬底中或衬底上形成的表面处的源极和漏极区域、以及设置在它们之间的栅极。在栅极上以及在源极和漏极区域上形成硅化物电层或接触件。通常,典型的已知硅化工艺为如下:进行源极和漏极注入,随后进行金属沉积,然后退火使金属和被注入或掺杂的硅起反应以形成硅化物。衬底包括,尤其是例如,块体半导体(例如,硅)、绝缘体上硅衬底(SOI)。例如,参阅Rausch等人的、在2005年8月16日授权的美国专利No.6,930,030B2,“METHOD OF FORMING ANELECTRONIC DEVICE ON A RECESS IN THE SURFACE OFTHIN FILM OF SILICON ETCHED TO A PRECISETHICKNESS”,通过引用将其全部内容合并于此。`030专利的图2M,在此局部复制为图8,示出了在SOI衬底中形成的nFET器件20的多晶硅栅极44的上表面上设置的硅化物层/接触件54。图中还示出了被设置在栅极的下面的氧化物层42和被设置在栅极的侧壁的间隔件48。
在CMOS技术中,nFET和pFET器件被最优化,以达到所需要的CMOS性能。因此,非常不同的掺杂剂物质被用于nFET器件和pFET器件。这些物质具有非常不同的物理特性,如扩散速率和最大活性浓度。在传统的CMOS技术中,nFET和pFET通常共享相同的间隔件处理和拓扑结构。为了使得CMOS性能最优化,间隔件典型地可以具有一个最大宽度,并被设计成在nFET和pFET之间对性能进行折衷。例如,如果分别用砷和硼作为用于nFET和pFET的源极和漏极掺杂剂,则已知,对于nFET而言间隔件越窄越好,但对于pFET而言间隔件越宽越好,这是因为与硼相比砷扩散慢得多。在这种情形下,pFET是限制因素。因此,将所有间隔件的最大宽度针对pFET而最优化,对nFET的性能进行折衷。
还知道通过提供以下条件来增强n型场效应晶体管器件(nFET)和p型场效应晶体管器件(pFET)的性能:nFET沟道的拉伸应力和pFET沟道的压缩应力,和/或不同的间隔件宽度,以便控制硅化物到例如沟道的距离。
例如,参阅Chidambarrao等人的、在2003年9月22日提交、2005年3月22日授权的美国专利No.6,869,866 B1,“SILICIDEPROXIMITY STRUCTURES FOR CMOS DEVICEPERFORMANCE IMPROVEMENTS”;Chidambarrao等人的、在2003年9月10日提交、2005年5月10日授权的美国专利No.6,890,808B2,“METHOD AND STRUCTURE FOR IMPROVED MOSFETSUSING POLY/SILICIDE GATE HEIGHT CONTROL”;和Fung等人的、在2002年10月21日提交、2004年10月19日授权的美国专利No.6,806,584 B2,“SEMICONDUCTOR DEVICE STRUCTUREINCLUDING MULTIPLE FETS HAVING DIFFERENT SPACERWIDTHS”,通过引用将所有这些专利的全部内容合并于此。
然而,本发明人相信,按照现有技术的方法和最终得到的器件结构还可以进一步改进,以在同一个衬底上提供相同导电类型的低和高性能器件。
发明内容
本发明的第一方面提供了一种用于在一个衬底上制作相同导电类型的低性能半导体器件和高性能半导体器件的方法,该方法容易与传统的用于制作半导体器件结构的工艺兼容。
本发明的第二方面提供了一种包括都由nFET形成的低性能半导体器件和高性能半导体器件的半导体器件结构。
本发明的第三方面提供了一种包括都由pFET形成的低性能半导体器件和高性能半导体器件的半导体器件结构。
根据本发明的一个实施例,一种用于制作半导体器件结构的方法包括:提供衬底,在衬底上形成具有第一间隔件的第一栅极、具有第二间隔件的第二栅极、与第一栅极和第二栅极相邻的相同导电类型的各个源极和漏极区域、被设置在第一栅极和第二栅极中间的隔离区域、在第一栅极、第二栅极和各个源极与漏极区域上的硅化物,仅在第一间隔件上形成附加间隔件,产生中间结构,然后在整个中间结构上设置应力层。
按照另一个实施例,本发明提供了一种包括被设置在同一个衬底上的第一场效应晶体管和第二场效应晶体管的半导体器件结构,该晶体管具有相同导电类型,并包括各自的侧壁间隔件、仅仅被设置在第一场效应晶体管的侧壁间隔件上的附加间隔件、以及被设置在第一场效应晶体管、附加间隔件和第二场效应晶体管上的应力膜,以使得在第一场效应晶体管的沟道中引起的最大应力与在第二场效应晶体管的沟道中引起的最大应力不同。
在本发明的一个优选实施例中,第一场效应晶体管的沟道中引起的最大应力小于第二场效应晶体管的沟道中引起的最大应力。
当结合以下的附图参阅以下的详细说明时,将更容易明白本发明的附加特性和优点。
附图说明
下面参考附图,作为例子,更详细地描述本发明的实施例,图中:
图1至图5是当被用来制作包括具有各自的沟道C的两个nFET的两个器件结构时,根据本发明的一个优选实施例的、从各个相继的步骤得到的相继半导体器件结构的示意性侧视图;侧壁间隔件SP和附加间隔件RSPS具有组合的最大宽度W。
图6是示出对于90nm技术和50nm 1.2GPa应力膜30,在一个器件的沟道中最大的最终得到的应力与附加间隔件RSPS和传统的侧壁间隔件(SP)的组合的最大宽度(2w)之间的关系的、具有说明性图注的图。
图7是根据本发明的、包括两个pFET的半导体器件结构的示意性侧视图。
图8是按照现有技术的nFET的示意性侧视图。
具体实施方式
现在参考图1-7描述本发明的用于制作包括两个nFET的半导体器件结构的优选实施例和最好模式。然而,本领域技术人员考虑到现在的公开内容,将会理解,本申请人的方法可应用于制作包括两个pFET的半导体器件结构。
首先,参考图1,提供衬底10,在其上形成了:具有第一侧壁间隔件SP的第一栅极G1;具有第二侧壁间隔件SP的第二栅极G2;与第一栅极和第二栅极相邻地形成并被适当地注入的各个源极和漏极区域S、D;设置在第一栅极G1和第二栅极G2中间的隔离区域STI;以及设置在第一栅极、第二栅极和各个源极与漏极区域上的硅化物。
半导体衬底10例如是块体硅(Si)衬底或绝缘体上硅(SOI)衬底。可替换地,衬底10是包括多于一个表面取向的混合衬底。可替换地,衬底包括除Si以外的半导体材料,如Ge,或III-V族元素或II-V族元素的任何组合。
在(传统的)初始衬底清洗工艺之后,进行隔离方案。正如在半导体制造中熟知的,隔离方案用来使得所选择的半导体器件彼此电分离。隔离方案是标准的或经修改的浅沟槽隔离(STI)方案。图1中示出了隔离区域STI。可替换地,通过使用LOCOS处理或台面隔离方案而实现隔离,正如在制造半导体器件的技术中所熟知的。对于制造半导体器件的各种已知的或传统的工艺,例如参阅S.M.Sze著,VLSITechnology(VLSI技术),第2版(McGraw Hill Publishing Co.,1988)。
在形成隔离区域STI后,执行传统的栅极氧化物预清洗处理。通常,在已知的高性能(例如,逻辑)或低性能(例如,存储器或模拟)制造工艺中,可以使用各种传统栅极氧化物工艺来制造具有不同栅极氧化物厚度的器件。例如通过使用传统的热氧化处理来形成栅极氧化物3。可以通过使用N2O、NO、O2或它们的任何组合来形成栅极氧化物3。可以通过使用传统的等离子体处理来形成氧化物3。可替换地,通过使用基础氧化物,随后沉积诸如氧化铝或氧化铪的高k栅极电介质材料或另一种高k栅极电介质,来形成栅极氧化物3。栅极电介质材料例如具有从约(+10%)0.6nm到约7nm的范围内选择的一个近似(+10%)均匀的厚度。
接着,按传统方式形成栅电极或栅极G1、G2。优选地,每个栅极G1、G2由通过使用诸如硅烷(SiH4)的反应性气体进行的低压化学汽相沉积(LPCVD)而沉积的多晶硅层(未示出)形成。该层的厚度在约1000至3000埃之间。然后,该层通过离子注入砷(As75)或磷(P31)而被导电地掺杂为n型。在注入后,层的最终掺杂剂浓度优选地在约1.0×1018至1.0×1021离子/cm3之间。使用传统的光刻技术和各向异性等离子体蚀刻来对多晶硅层形成图案,这包括在器件区域上形成栅电极。通过使用反应离子蚀刻(RIE)或高密度等离子体(HDP)蚀刻和诸如氯(Cl2)的蚀刻气体而对多晶硅层进行等离子体蚀刻。
在例如通过在氧(O2)中的等离子体蚀刻而去除光致抗蚀剂掩模(未示出)之后,通过使用诸如As或P的第二导电类型掺杂剂的离子注入,在与所述栅电极相邻的器件区域中形成轻掺杂的源极和漏极(LDD)或延伸区域(未示出)。多晶硅侧壁再氧化或偏移间隔件可用来偏移LDD注入。典型地,LDD区域被掺杂到在约1.0×1019至5.0×1020原子/cm3之间的浓度。接着,通过使用传统技术沉积保形的绝缘层(未示出),并对其进行各向异性等离子体回蚀刻,以在栅电极G1、G2的侧壁上形成侧壁间隔件SP。
典型地,绝缘层是氧化硅(SiO2),它是通过使用四乙氧基硅烷(TEOS)作为反应性气体进行低压CVD(LPCVD)而沉积的,并且被沉积到约200至1000埃的优选的厚度。其它可选项包括氮化物间隔件或具有氮化物和氧化物材料的多个间隔件的组合。
然后,通过使用RIE和诸如四氟化碳(CF4)和氢H2或甲基氟(CHF3)的蚀刻气体而进行传统回蚀刻,这选择性地蚀刻SiO2层到硅衬底和多晶硅栅电极。然后,通过离子注入诸如砷的第二导电类型掺杂剂,在与绝缘侧壁间隔件SP相邻的器件区域中形成重掺杂的源极和漏极接触区域S、D。接触区域被掺杂到1.0×1018至1.0×1021原子/cm3之间的最终浓度。例如通过使用在稀释的氢氟酸溶液中进行的浸渍蚀刻来去除保留在源极和漏极接触区域和多晶硅栅电极的暴露上表面上的任何剩余的本征氧化物。
然后以任何传统的方式将两个nFET硅化,使得在如图1所示的位置处形成硅化物。图1的结构的更多细节例如在图8中示出的现有技术nFET器件结构中示出,并已在先前通过引用合并于此的美国专利6,930,030 B2中描述。
以上对于图1的说明是关于nFET的。当然,本领域技术人员考虑到现在的公开内容,将会理解,当使用pFET时,掺杂杂质的极性类型被颠倒,并且在处理期间需要传统的掩模、图案形成等等。
接着,参考图2,在衬底10上沉积第一保形电介质层或膜20。更具体地,该层20被沉积在具有第一间隔件SP的硅化的第一栅极、具有第二间隔件SP的硅化的第二栅极、硅化的各个源极与漏极区域、和隔离区域STI上。层20优选地是氮化硅,并被沉积成从约20纳米到约70纳米的厚度范围内选择的一个近似(±10%)均匀的厚度。例如,可以通过CVD、HDP、ALD或其它传统的沉积技术来沉积Si3N4
接着,参考图3,覆盖被设置在具有第一间隔件SP的硅化的第一栅极G1、硅化的各个源极与漏极区域S,D、和STI区域的一部分上的电介质层20。例如借助于沉积传统的光致抗蚀剂掩模(未示出)而完成覆盖。
然后,从未被覆盖的区域(即,具有间隔件的硅化的第二栅极G2、硅化的各个源极与漏极区域、和STI区域的一部分)去除层20。图3示出了所得到的结构。例如借助于传统的RIE完成去除。接着,通过使用诸如剥离或蚀刻的任何传统技术去除光致抗蚀剂掩模(未示出)。
在去除光致抗蚀剂掩模之后,覆盖具有间隔件的硅化的栅极G2、相邻的硅化区域S,D、和STI区域的另一部分。使用传统的光致抗蚀剂掩模(未示出)来覆盖硅化的栅极G2等等。然后,如图4所示,从硅化的栅极G1、硅化的S,D、STI和间隔件SP,除了层20的被设置在间隔件SP上的一部分以外,选择性地去除层20,从而仅仅在具有硅化的栅极G1的nFET的间隔件SP上形成附加间隔件或相反应力相邻间隔件RSPS。优选地,对于一个器件,间隔件RSPS与侧壁间隔件SP的组合最大宽度(2w)不大于50nm(对于90nm工艺技术),或不大于30nm(对于65nm工艺技术)。借助于诸如RIE的任何传统的蚀刻来实施层20的去除。
在形成附加的间隔件RSPS之后,在整个中间结构上沉积适当的应力膜或层30,以形成图5所示的半导体器件结构。层30例如是氮化硅或碳化硅,并且保形地沉积到从约10nm到约100nm的厚度范围内选择的近似(±10%)均匀的厚度。对于各种应力膜或层的成分和沉积离子技术,例如参阅:F.Ootsuka等,“A Highly Dense,High-Performance 130nm Node CMOS Technology for Large ScaleSystem-on-a-Chip Applications”,IEDM,2000,第23.5.1-23.5.4页。
因此,通常,根据本发明的方法在硅化后在FET上选择性地形成间隔件RSPS,以控制应力衬垫/层的相邻性,从而控制FET器件的迁移率/驱动电流。
层30、侧壁间隔件SP和附加间隔件RSPS有助于在具有硅化的栅极G1的器件的沟道C中创建适当的第一应力。例如,参阅图6。正如从图5和图6理解的,第一应力不同于(优选地小于)在具有硅化的栅极G2的器件的沟道C中创建的应力。
图7是最终得到的包括具有硅化的栅极G11,G12的两个pFET、仅仅被设置在栅极G11的侧壁间隔件上的间隔件RSPS、和被设置在整个结构上的应力层300的半导体器件结构的示意图。
虽然参考本发明的优选实施例具体地示出和描述了本发明,但是本领域技术人员将理解,可以在形式和细节上作出各种改变而不脱离本发明的范围。

Claims (20)

1.一种用于制作半导体器件结构的方法,包括:
提供衬底;
在衬底上形成具有第一间隔件的第一栅极、具有第二间隔件的第二栅极、分别与第一栅极和第二栅极相邻的相同导电类型的各个源极和漏极区域、设置在第一栅极和第二栅极中间的隔离区域、以及硅化物,所述硅化物位于第一栅极、第二栅极以及各个源极和漏极区域上;
仅在第一间隔件上形成附加间隔件,以产生中间结构,然后在整个中间结构上设置应力层。
2.如权利要求1所述的方法,所述形成附加间隔件的步骤还包括:
在具有第一间隔件的硅化的第一栅极、具有第二间隔件的硅化的第二栅极、硅化的各个源极和漏极区域、和隔离区域上设置第一电介质层;以及
覆盖设置在具有第一间隔件的硅化的第一栅极、与第一栅极相邻的硅化的各个源极和漏极区域、和隔离区域的一部分上的第一电介质层,然后从该结构的没有被所述覆盖步骤覆盖的部分去除第一电介质层。
3.如权利要求2所述的方法,所述去除步骤包括:从所述结构的没有被所述覆盖步骤覆盖的部分对第一电介质层进行各向异性蚀刻。
4.如权利要求2所述的方法,还包括:
使设置在具有间隔件的硅化的第一栅极、与第一栅极相邻的硅化的各个源极和漏极区域、和隔离区域的所述部分上的第一电介质层不被覆盖;
覆盖设置在具有第二间隔件的硅化的第二栅极、与第二栅极相邻的硅化的各个源极和漏极区域、和隔离区域的另一部分上的第二电介质层;以及然后
除了设置在第一间隔件上的特定部分之外,去除第一电介质层,以形成附加间隔件。
5.如权利要求3所述的方法,所述各向异性蚀刻的步骤包括对第一电介质层进行反应离子蚀刻。
6.如权利要求1所述的方法,其中所述第一间隔件具有不大于60纳米的最大宽度。
7.如权利要求1所述的方法,其中每个所述附加间隔件具有从包含15nm、30nm和50nm的组中选择的最大宽度。
8.如权利要求1所述的方法,所述设置应力层的步骤还包括沉积从主要包含氮化硅和碳化硅的组中选择的应力层。
9.如权利要求2所述的方法,所述设置第一电介质层的步骤还包括沉积从主要包含氮化硅、碳化硅和二氧化硅的组中选择的第一电介质层。
10.如权利要求2所述的方法,其中第一间隔件和第一电介质层总共具有不大于70纳米的最大宽度。
11.如权利要求1所述的方法,其中所述相同导电类型是n型。
12.如权利要求1所述的方法,其中所述相同导电类型是p型。
13.一种半导体器件结构,包括:
设置在同一个衬底上的第一场效应晶体管和第二场效应晶体管,所述第一场效应晶体管和第二场效应晶体管具有相同导电类型并包括各自的侧壁间隔件;
仅设置在第一场效应晶体管的侧壁间隔件上的附加间隔件和设置在第一场效应晶体管、所述附加间隔件以及第二场效应晶体管上的应力膜,使得第一场效应晶体管的沟道中引起的最大应力与第二场效应晶体管的沟道中引起的最大应力不同。
14.如权利要求13所述的半导体器件结构,其中第一场效应晶体管的沟道中引起的最大应力小于第二场效应晶体管的沟道中引起的最大应力。
15.如权利要求13所述的半导体器件结构,其中第一场效应晶体管是第一nFET,以及第二场效应晶体管是第二nFET。
16.如权利要求13所述的半导体器件结构,其中第一场效应晶体管是第一pFET,以及第二场效应晶体管是第二pFET。
17.如权利要求13所述的半导体器件结构,其中所述附加间隔件和所述应力膜主要包含氮化硅。
18.如权利要求13所述的半导体器件结构,其中所述附加间隔件的化学成分不同于所述应力膜的化学成分。
19.如权利要求13所述的半导体器件结构,其中每个所述附加间隔件包括多个整体部分。
20.如权利要求19所述的半导体器件结构,其中所述多个是两个。
CNA200680045213XA 2006-01-09 2006-12-20 同一个衬底上具有相同导电类型的低和高性能器件的半导体器件结构 Pending CN101322239A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/306,716 2006-01-09
US11/306,716 US7776695B2 (en) 2006-01-09 2006-01-09 Semiconductor device structure having low and high performance devices of same conductive type on same substrate

Publications (1)

Publication Number Publication Date
CN101322239A true CN101322239A (zh) 2008-12-10

Family

ID=37896026

Family Applications (1)

Application Number Title Priority Date Filing Date
CNA200680045213XA Pending CN101322239A (zh) 2006-01-09 2006-12-20 同一个衬底上具有相同导电类型的低和高性能器件的半导体器件结构

Country Status (7)

Country Link
US (1) US7776695B2 (zh)
EP (1) EP1972006A1 (zh)
JP (1) JP4939548B2 (zh)
KR (1) KR101033700B1 (zh)
CN (1) CN101322239A (zh)
TW (1) TW200731422A (zh)
WO (1) WO2007080048A1 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102388452A (zh) * 2009-04-08 2012-03-21 吉林克斯公司 具有应力减缓层的集成电路装置
CN103178011A (zh) * 2011-12-22 2013-06-26 中芯国际集成电路制造(上海)有限公司 Cmos及其形成方法

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7445978B2 (en) * 2005-05-04 2008-11-04 Chartered Semiconductor Manufacturing, Ltd Method to remove spacer after salicidation to enhance contact etch stop liner stress on MOS
JP2008130963A (ja) * 2006-11-24 2008-06-05 Sharp Corp 半導体装置及びその製造方法
US7888197B2 (en) * 2007-01-11 2011-02-15 International Business Machines Corporation Method of forming stressed SOI FET having doped glass box layer using sacrificial stressed layer
JP5132943B2 (ja) * 2007-01-24 2013-01-30 パナソニック株式会社 半導体装置
JP4970507B2 (ja) 2009-08-27 2012-07-11 株式会社東芝 半導体記憶装置
KR101912582B1 (ko) * 2012-04-25 2018-12-28 삼성전자 주식회사 반도체 장치 및 그 제조 방법

Family Cites Families (95)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3602841A (en) * 1970-06-18 1971-08-31 Ibm High frequency bulk semiconductor amplifiers and oscillators
US4853076A (en) * 1983-12-29 1989-08-01 Massachusetts Institute Of Technology Semiconductor thin films
US4665415A (en) * 1985-04-24 1987-05-12 International Business Machines Corporation Semiconductor device with hole conduction via strained lattice
EP0219641B1 (de) * 1985-09-13 1991-01-09 Siemens Aktiengesellschaft Integrierte Bipolar- und komplementäre MOS-Transistoren auf einem gemeinsamen Substrat enthaltende Schaltung und Verfahren zu ihrer Herstellung
JPS6476755A (en) 1987-09-18 1989-03-22 Hitachi Ltd Semiconductor device
US4958213A (en) * 1987-12-07 1990-09-18 Texas Instruments Incorporated Method for forming a transistor base region under thick oxide
US5354695A (en) * 1992-04-08 1994-10-11 Leedy Glenn J Membrane dielectric isolation IC fabrication
US5459346A (en) * 1988-06-28 1995-10-17 Ricoh Co., Ltd. Semiconductor substrate with electrical contact in groove
US5006913A (en) * 1988-11-05 1991-04-09 Mitsubishi Denki Kabushiki Kaisha Stacked type semiconductor device
US5108843A (en) * 1988-11-30 1992-04-28 Ricoh Company, Ltd. Thin film semiconductor and process for producing the same
US4952524A (en) * 1989-05-05 1990-08-28 At&T Bell Laboratories Semiconductor device manufacture including trench formation
US5310446A (en) * 1990-01-10 1994-05-10 Ricoh Company, Ltd. Method for producing semiconductor film
US5060030A (en) * 1990-07-18 1991-10-22 Raytheon Company Pseudomorphic HEMT having strained compensation layer
US5081513A (en) * 1991-02-28 1992-01-14 Xerox Corporation Electronic device with recovery layer proximate to active layer
US5371399A (en) * 1991-06-14 1994-12-06 International Business Machines Corporation Compound semiconductor having metallic inclusions and devices fabricated therefrom
US5134085A (en) * 1991-11-21 1992-07-28 Micron Technology, Inc. Reduced-mask, split-polysilicon CMOS process, incorporating stacked-capacitor cells, for fabricating multi-megabit dynamic random access memories
US5391510A (en) * 1992-02-28 1995-02-21 International Business Machines Corporation Formation of self-aligned metal gate FETs using a benignant removable gate material during high temperature steps
US6008126A (en) * 1992-04-08 1999-12-28 Elm Technology Corporation Membrane dielectric isolation IC fabrication
US5561302A (en) * 1994-09-26 1996-10-01 Motorola, Inc. Enhanced mobility MOSFET device and method
US5670798A (en) * 1995-03-29 1997-09-23 North Carolina State University Integrated heterostructures of Group III-V nitride semiconductor materials including epitaxial ohmic contact non-nitride buffer layer and methods of fabricating same
US5679965A (en) * 1995-03-29 1997-10-21 North Carolina State University Integrated heterostructures of Group III-V nitride semiconductor materials including epitaxial ohmic contact, non-nitride buffer layer and methods of fabricating same
US5557122A (en) * 1995-05-12 1996-09-17 Alliance Semiconductors Corporation Semiconductor electrode having improved grain structure and oxide growth properties
KR100213196B1 (ko) * 1996-03-15 1999-08-02 윤종용 트렌치 소자분리
US6403975B1 (en) * 1996-04-09 2002-06-11 Max-Planck Gesellschaft Zur Forderung Der Wissenschafteneev Semiconductor components, in particular photodetectors, light emitting diodes, optical modulators and waveguides with multilayer structures grown on silicon substrates
US5880040A (en) * 1996-04-15 1999-03-09 Macronix International Co., Ltd. Gate dielectric based on oxynitride grown in N2 O and annealed in NO
US5882973A (en) * 1997-01-27 1999-03-16 Advanced Micro Devices, Inc. Method for forming an integrated circuit having transistors of dissimilarly graded junction profiles
US5861651A (en) * 1997-02-28 1999-01-19 Lucent Technologies Inc. Field effect devices and capacitors with improved thin film dielectrics and method for making same
US5940736A (en) * 1997-03-11 1999-08-17 Lucent Technologies Inc. Method for forming a high quality ultrathin gate oxide layer
US6309975B1 (en) * 1997-03-14 2001-10-30 Micron Technology, Inc. Methods of making implanted structures
US6025280A (en) * 1997-04-28 2000-02-15 Lucent Technologies Inc. Use of SiD4 for deposition of ultra thin and controllable oxides
JPH1167927A (ja) * 1997-06-09 1999-03-09 Mitsubishi Electric Corp 半導体装置およびその製造方法
US5960297A (en) * 1997-07-02 1999-09-28 Kabushiki Kaisha Toshiba Shallow trench isolation structure and method of forming the same
JP3139426B2 (ja) * 1997-10-15 2001-02-26 日本電気株式会社 半導体装置
US6066545A (en) * 1997-12-09 2000-05-23 Texas Instruments Incorporated Birdsbeak encroachment using combination of wet and dry etch for isolation nitride
US6274421B1 (en) * 1998-01-09 2001-08-14 Sharp Laboratories Of America, Inc. Method of making metal gate sub-micron MOS transistor
KR100257075B1 (ko) * 1998-01-13 2000-05-15 김영환 반도체 소자 및 그의 제조방법
KR100275908B1 (ko) * 1998-03-02 2000-12-15 윤종용 집적 회로에 트렌치 아이솔레이션을 형성하는방법
US6361885B1 (en) * 1998-04-10 2002-03-26 Organic Display Technology Organic electroluminescent materials and device made from such materials
US6165383A (en) * 1998-04-10 2000-12-26 Organic Display Technology Useful precursors for organic electroluminescent materials and devices made from such materials
US5989978A (en) * 1998-07-16 1999-11-23 Chartered Semiconductor Manufacturing, Ltd. Shallow trench isolation of MOSFETS with reduced corner parasitic currents
JP4592837B2 (ja) * 1998-07-31 2010-12-08 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US6319794B1 (en) * 1998-10-14 2001-11-20 International Business Machines Corporation Structure and method for producing low leakage isolation devices
US6235598B1 (en) * 1998-11-13 2001-05-22 Intel Corporation Method of using thick first spacers to improve salicide resistance on polysilicon gates
US6117722A (en) * 1999-02-18 2000-09-12 Taiwan Semiconductor Manufacturing Company SRAM layout for relaxing mechanical stress in shallow trench isolation technology and method of manufacture thereof
US6255169B1 (en) * 1999-02-22 2001-07-03 Advanced Micro Devices, Inc. Process for fabricating a high-endurance non-volatile memory device
US6284626B1 (en) * 1999-04-06 2001-09-04 Vantis Corporation Angled nitrogen ion implantation for minimizing mechanical stress on side walls of an isolation trench
US6656822B2 (en) * 1999-06-28 2003-12-02 Intel Corporation Method for reduced capacitance interconnect system using gaseous implants into the ILD
US6281532B1 (en) * 1999-06-28 2001-08-28 Intel Corporation Technique to obtain increased channel mobilities in NMOS transistors by gate electrode engineering
US6362082B1 (en) * 1999-06-28 2002-03-26 Intel Corporation Methodology for control of short channel effects in MOS transistors
US6228694B1 (en) * 1999-06-28 2001-05-08 Intel Corporation Method of increasing the mobility of MOS transistors by use of localized stress regions
KR100332108B1 (ko) * 1999-06-29 2002-04-10 박종섭 반도체 소자의 트랜지스터 및 그 제조 방법
TW426940B (en) * 1999-07-30 2001-03-21 United Microelectronics Corp Manufacturing method of MOS field effect transistor
US6483171B1 (en) * 1999-08-13 2002-11-19 Micron Technology, Inc. Vertical sub-micron CMOS transistors on (110), (111), (311), (511), and higher order surfaces of bulk, SOI and thin film structures and method of forming same
US6284623B1 (en) * 1999-10-25 2001-09-04 Peng-Fei Zhang Method of fabricating semiconductor devices using shallow trench isolation with reduced narrow channel effect
US6476462B2 (en) * 1999-12-28 2002-11-05 Texas Instruments Incorporated MOS-type semiconductor device and method for making same
US6221735B1 (en) * 2000-02-15 2001-04-24 Philips Semiconductors, Inc. Method for eliminating stress induced dislocations in CMOS devices
US6531369B1 (en) * 2000-03-01 2003-03-11 Applied Micro Circuits Corporation Heterojunction bipolar transistor (HBT) fabrication using a selectively deposited silicon germanium (SiGe)
US6368931B1 (en) * 2000-03-27 2002-04-09 Intel Corporation Thin tensile layers in shallow trench isolation and method of making same
US6493497B1 (en) * 2000-09-26 2002-12-10 Motorola, Inc. Electro-optic structure and process for fabricating same
US6501121B1 (en) * 2000-11-15 2002-12-31 Motorola, Inc. Semiconductor structure
US7312485B2 (en) * 2000-11-29 2007-12-25 Intel Corporation CMOS fabrication process utilizing special transistor orientation
US6563152B2 (en) * 2000-12-29 2003-05-13 Intel Corporation Technique to obtain high mobility channels in MOS transistors by forming a strain layer on an underside of a channel
US20020086497A1 (en) * 2000-12-30 2002-07-04 Kwok Siang Ping Beaker shape trench with nitride pull-back for STI
US6265317B1 (en) * 2001-01-09 2001-07-24 Taiwan Semiconductor Manufacturing Company Top corner rounding for shallow trench isolation
US6500765B2 (en) * 2001-03-23 2002-12-31 United Microelectronics Corp. Method for manufacturing dual-spacer structure
US6403486B1 (en) * 2001-04-30 2002-06-11 Taiwan Semiconductor Manufacturing Company Method for forming a shallow trench isolation
US6531740B2 (en) * 2001-07-17 2003-03-11 Motorola, Inc. Integrated impedance matching and stability network
US6498358B1 (en) * 2001-07-20 2002-12-24 Motorola, Inc. Structure and method for fabricating an electro-optic system having an electrochromic diffraction grating
US6908810B2 (en) * 2001-08-08 2005-06-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of preventing threshold voltage of MOS transistor from being decreased by shallow trench isolation formation
JP2003060076A (ja) * 2001-08-21 2003-02-28 Nec Corp 半導体装置及びその製造方法
US6890824B2 (en) * 2001-08-23 2005-05-10 Matsushita Electric Industrial Co., Ltd. Semiconductor device and manufacturing method thereof
JP2003151991A (ja) * 2001-08-23 2003-05-23 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
EP1428262A2 (en) * 2001-09-21 2004-06-16 Amberwave Systems Corporation Semiconductor structures employing strained material layers with defined impurity gradients and methods for fabricating same
US20030057184A1 (en) * 2001-09-22 2003-03-27 Shiuh-Sheng Yu Method for pull back SiN to increase rounding effect in a shallow trench isolation process
US6656798B2 (en) * 2001-09-28 2003-12-02 Infineon Technologies, Ag Gate processing method with reduced gate oxide corner and edge thinning
US6635506B2 (en) * 2001-11-07 2003-10-21 International Business Machines Corporation Method of fabricating micro-electromechanical switches on CMOS compatible substrates
US6461936B1 (en) * 2002-01-04 2002-10-08 Infineon Technologies Ag Double pullback method of filling an isolation trench
JP4173672B2 (ja) * 2002-03-19 2008-10-29 株式会社ルネサステクノロジ 半導体装置及びその製造方法
US6621392B1 (en) * 2002-04-25 2003-09-16 International Business Machines Corporation Micro electromechanical switch having self-aligned spacers
US6806584B2 (en) * 2002-10-21 2004-10-19 International Business Machines Corporation Semiconductor device structure including multiple fets having different spacer widths
US6717216B1 (en) * 2002-12-12 2004-04-06 International Business Machines Corporation SOI based field effect transistor having a compressive film in undercut area under the channel and a method of making the device
JP4228276B2 (ja) * 2003-01-29 2009-02-25 富士通マイクロエレクトロニクス株式会社 半導体装置
US6930030B2 (en) * 2003-06-03 2005-08-16 International Business Machines Corporation Method of forming an electronic device on a recess in the surface of a thin film of silicon etched to a precise thickness
US7279746B2 (en) * 2003-06-30 2007-10-09 International Business Machines Corporation High performance CMOS device structures and method of manufacture
US6890808B2 (en) * 2003-09-10 2005-05-10 International Business Machines Corporation Method and structure for improved MOSFETs using poly/silicide gate height control
US6869866B1 (en) * 2003-09-22 2005-03-22 International Business Machines Corporation Silicide proximity structures for CMOS device performance improvements
KR101025761B1 (ko) * 2004-03-30 2011-04-04 삼성전자주식회사 디지탈 회로 및 아날로그 회로를 가지는 반도체 집적회로및 그 제조 방법
JP2005286341A (ja) * 2004-03-30 2005-10-13 Samsung Electronics Co Ltd 低ノイズ及び高性能のlsi素子、レイアウト及びその製造方法
JP2005294360A (ja) * 2004-03-31 2005-10-20 Nec Electronics Corp 半導体装置の製造方法
US7190033B2 (en) 2004-04-15 2007-03-13 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS device and method of manufacture
US7053400B2 (en) * 2004-05-05 2006-05-30 Advanced Micro Devices, Inc. Semiconductor device based on Si-Ge with high stress liner for enhanced channel carrier mobility
GB2442995B (en) 2004-05-28 2010-06-30 Advanced Micro Devices Inc Technique for creating different mechanical stress in different channel regions by forming an etch stop layer having differently modified intrinsic stress
US6984564B1 (en) * 2004-06-24 2006-01-10 International Business Machines Corporation Structure and method to improve SRAM stability without increasing cell area or off current
US7446062B2 (en) * 2004-12-10 2008-11-04 International Business Machines Corporation Device having dual etch stop liner and reformed silicide layer and related methods
US7445978B2 (en) * 2005-05-04 2008-11-04 Chartered Semiconductor Manufacturing, Ltd Method to remove spacer after salicidation to enhance contact etch stop liner stress on MOS

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102388452A (zh) * 2009-04-08 2012-03-21 吉林克斯公司 具有应力减缓层的集成电路装置
CN102388452B (zh) * 2009-04-08 2014-10-29 吉林克斯公司 具有应力减缓层的集成电路装置
CN103178011A (zh) * 2011-12-22 2013-06-26 中芯国际集成电路制造(上海)有限公司 Cmos及其形成方法

Also Published As

Publication number Publication date
WO2007080048A1 (en) 2007-07-19
TW200731422A (en) 2007-08-16
US7776695B2 (en) 2010-08-17
KR101033700B1 (ko) 2011-05-09
EP1972006A1 (en) 2008-09-24
US20070158753A1 (en) 2007-07-12
JP2009522796A (ja) 2009-06-11
KR20080082998A (ko) 2008-09-12
JP4939548B2 (ja) 2012-05-30

Similar Documents

Publication Publication Date Title
US7635620B2 (en) Semiconductor device structure having enhanced performance FET device
US8946034B2 (en) Strained semiconductor device and method of making the same
CN101252146B (zh) 半导体结构及其制造方法
US7303955B2 (en) Semiconductor memory device with high operating current and method of manufacturing the same
JP5235784B2 (ja) 半導体装置
US20060223255A1 (en) Method for selectively stressing MOSFETs to improve charge carrier mobility
US20050214998A1 (en) Local stress control for CMOS performance enhancement
US7247569B2 (en) Ultra-thin Si MOSFET device structure and method of manufacture
CN1914722A (zh) 制作应变绝缘体上硅结构的方法及用此方法形成的绝缘体上硅结构
CN101322239A (zh) 同一个衬底上具有相同导电类型的低和高性能器件的半导体器件结构
CN101000928A (zh) 薄硅单扩散场效应晶体管及其制造方法
US20070164325A1 (en) Three-dimensional multi-gate device and fabricating method thereof
WO2012041035A1 (zh) 一种闪存器件及其形成方法
US7674667B2 (en) CMOS structure including topographic active region
TWI637509B (zh) 使用絕緣體上覆矽基板的裝置層的裝置結構及其形成方法
US20080054366A1 (en) CMOS semiconductor device having tensile and compressive stress films
US20090189224A1 (en) Semiconductor device and fabrication process thereof
US7723231B2 (en) Semiconductor device and method of fabricating the same
US6958278B2 (en) Semiconductor devices and methods for fabricating the same
CN103094217B (zh) 晶体管制作方法
US6740572B2 (en) Method for fabricating CMOS transistor of a semiconductor device
JP2001257346A (ja) 半導体集積回路装置
JP2007180354A (ja) 半導体装置及びその製造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C12 Rejection of a patent application after its publication
RJ01 Rejection of invention patent application after publication

Open date: 20081210