CN100541735C - Sioc低k膜的应力减小 - Google Patents

Sioc低k膜的应力减小 Download PDF

Info

Publication number
CN100541735C
CN100541735C CNB2004800151222A CN200480015122A CN100541735C CN 100541735 C CN100541735 C CN 100541735C CN B2004800151222 A CNB2004800151222 A CN B2004800151222A CN 200480015122 A CN200480015122 A CN 200480015122A CN 100541735 C CN100541735 C CN 100541735C
Authority
CN
China
Prior art keywords
film
chamber
dielectric constant
gas
cyclic organic
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CNB2004800151222A
Other languages
English (en)
Other versions
CN1799128A (zh
Inventor
福兰斯马尔·C·斯楚弥特
海澈姆·穆萨德
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN1799128A publication Critical patent/CN1799128A/zh
Application granted granted Critical
Publication of CN100541735C publication Critical patent/CN100541735C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02351Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to corpuscular radiation, e.g. exposure to electrons, alpha-particles, protons or ions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3122Layers comprising organo-silicon compounds layers comprising polysiloxane compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31633Deposition of carbon doped silicon oxide, e.g. SiOC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

一种用于沉积低介电常数膜的方法,所述方法包括将包含一种或者多种环状有机硅氧烷和一种或者多种惰性气体的气体混合物到室中的衬底。在一个方面中,该气体混合物还包含一种或者多种氧化气体。所述一种或者多种环状有机硅氧烷到所述室中的总流率与所述一种或者多种惰性气体到所述室中的总流率之比为从约0.10到约0.20。优选地,该低介电常数膜具有压应力。

Description

SIOC低K膜的应力减小
技术领域
本发明的实施例涉及集成电路的制造。更具体地,本发明的实施例涉及用于在衬底上沉积电介质层的方法。
背景技术
自从集成电路在几十年前被首次引入以来,这样的器件的几何尺寸已经被急剧减小。从此以后,集成电路一般遵循两年/一半尺寸的法则(常常称为莫尔定律),其意味着在一芯片上的器件数量每两年增加一倍。现在的制造企业正在常规地生产具有0.13μm甚至是0.1μm线宽尺寸的器件,并且将来的企业将很快生产具有甚至更小的线宽尺寸的器件。
器件几何的持续减小已经产生了对于具有更低介电常数(k)值的膜的需要,因为耦合在相邻金属线之间的电容必须被减小以进一步减小集成电路上的器件的尺寸。具体地,具有小于约4.0的低介电常数的绝缘体是理想的。具有低介电常数的绝缘体的示例包括旋涂玻璃,诸如未掺杂硅玻璃(USG)或者掺氟硅玻璃(FSG)、二氧化硅和聚四氟乙烯(PTFE),这些都是可以商购的。
最近,已经开发了具有小于约3.5的k值的有机硅膜。Rose等(美国专利No.6,068,884)公开了一种方法,用于通过部分地分裂环状有机硅化合物沉积绝缘体,以在所沉积的膜中形成环状和线型结构两者。但是,该部分地分裂环状前驱体的方法难以控制,因此,难以实现产品的一致性。
此外,虽然已经开发出了具有理想的介电常数的有机硅膜,但是许多已知的低介电有机硅膜具有不理想的物理或者机械性能,诸如高的拉伸应力。在膜中高的拉伸应力可能导致膜弯曲或者变形、膜破裂、膜剥落或者膜中空洞的形成,这些可能损坏或者破坏包括该膜的器件。
因此,存在对于用于制造具有理想的物理或者机械性能的更低介电常数膜的可控方法的需要。
发明内容
本发明的实施例包括一种方法,所述方法通过在足够将低介电常数膜沉积在衬底上的条件下将包含一种或者多种环状有机硅氧烷和一种或者多种惰性气体的气体混合物输送到室中的衬底,来沉积低介电常数膜。所述一种或者多种环状有机硅氧烷到所述室中的总流率与所述一种或者多种惰性气体到所述室中的总流率之比为从约0.10到约0.20。室压力可以从约2Torr到约10Torr。在一个方面,所沉积的膜具有压应力。在一个实施例中,环状有机硅氧烷是八甲基环四硅氧烷(OMCTS),并且惰性气体是氦。
本发明的实施例还包括在足够将低介电常数膜沉积在衬底表面上的条件下将包含一种或多种环状有机硅氧烷、一种或者多种惰性气体和一种或者多种氧化气体的气体混合物输送到室中的衬底。所述一种或者多种环状有机硅氧烷到所述室中的总流率与所述一种或者多种惰性气体到所述室中的总流率之比为从约0.10到约0.20。室压力可以从约2Torr到约10Torr。在一个方面,所沉积的膜具有压应力。
附图说明
可以参考本发明的实施例对上面所简要概述的本发明进行更具体的描述,由此可以详细理解实现本发明的所述特征的方式,其中,本发明的实施例被示于附图中。
但是应该注意,所述描述和附图仅仅示出了本发明的典型实施例,并且因此不应被认为是对其范围的限制,因为本发明可以允许其他等效的实施例。
图1是构造来用于根据本文所描述的实施例的用途的示例性CVD反应器的横截面图。
图2是根据本发明的实施例的电子束室。
图3是根据本发明的实施例的电子束室的局部视图。
图4示出了根据本发明的实施例的具有反馈控制电路的电子束室。
具体实施方式
本发明的实施例通过向室提供一种或者多种环状有机硅氧烷、一种或者多种惰性气体以及任选的一种或者多种氧化气体来沉积低介电常数膜,而在包含硅、氧和碳的低介电常数膜中提供低应力。优选地,低介电常数膜具有小于约3.4的介电常数。环状有机硅氧烷到室中的总流率与所述一种或者多种惰性气体到室中的总流率之比为从约0.10到约0.20。优选地,膜在使得当通过可从Frontier Semiconductor,San Jose,CA获得的FSM128L仪器测量时膜具有约10MPa或者更小的应力的条件下沉积。更优选地,该膜具有压应力。具有压应力的膜具有小于0MPa的应力。更一般地,提供压应力的条件通过将共形膜沉积在平坦硅衬底上来确定。如果所述共形膜在沉积之后压弯,即膜的边缘受拉而比膜的中心低,则该工艺条件引入压应力。
环状有机硅氧烷包括具有一个或者多个硅-碳键的化合物。可以使用可商购的具有一个或者多个环的环状有机硅氧烷化合物,所述的环具有交替的硅和氧原子,并具有键合到所述硅原子上的一个或者多个烷基。例如,该一种或多种环状有机硅氧烷可以是如下化合物中的一种或多种:
1,3,5,7-四甲基环四硅氧烷(TMCTS),-(-SiHCH3-O-)4-(环状)
八甲基环四硅氧烷(OMCTS),-(-Si(CH3)2-O-)4-(环状)
1,3,5,7,9-五甲基环五硅氧烷,-(-SiHCH3-O-)5-(环状)
六甲基环三硅氧烷,-(-Si(CH3)2-O-)3-(环状)
十甲基环五硅氧烷,-(-Si(CH3)2-O-)5-(环状)。
将一种或者多种惰性载气与一种或者多种环状有机硅氧烷混合。该一种或者多种惰性气体可以包括氩、氦或者其组合。
在本文所述的任一实施例中,气体混合物可以基本排除氧化气体,或者可以包含一种或者多种选自氧气(O2)、臭氧(O3)、含氮氧化物(N2O)、一氧化碳(CO)、二氧化碳(CO2)、水(H2O)和其组合的氧化气体。在一个方面,氧化气体是氧气。在另一个方面,氧化气体是氧气和二氧化碳。在另一个方面,氧化气体是臭氧。当臭氧被用作氧化气体时,臭氧发生器将源气体中6wt%到20wt%,通常约15wt%的氧转化成臭氧,同时剩余物通常是氧。但是,可以根据所期望的臭氧量和所使用的臭氧发生装置的类型,增大或者减小臭氧浓度。一种或者多种氧化气体可以被添加到反应气体混合物中,以增加反应性并在所沉积的膜中获得所期望的碳含量。
在沉积过程中,RF功率被施加到环状有机硅氧烷和一种或者多种惰性载气的混合物,以在衬底上形成低k膜。任选地,混合物中包括一种或者多种氧化气体。提供给200或者300mm衬底的RF功率为约0.03W/cm2和约3.2W/cm2之间,其对应于对于200mm衬底的约10W到约1000W的RF功率水平和对于300mm衬底的约20W到约2250W的功率水平。优选地,对于300mm衬底,RF功率水平在约200W和约1700W之间。
膜包含约5和约30原子百分比(不包括氢原子)之间、优选约5和约20原子百分比之间的碳含量。所沉积的膜的碳含量涉及膜结构的分析,该膜结构通常不包含显著量的非键合的烃。碳含量由在所沉积的膜中不包括难以定量的氢原子的情况下的碳原子百分比表示。例如,具有平均一个硅原子、一个氧原子、一个碳原子和两个氢原子的膜具有20原子百分比的碳含量(每五个总的原子一个碳原子),或者不包括氢原子情况下的33原子百分比的碳含量(每三个总的原子一个碳原子)。
在本文所述的任一实施例中,在沉积低介电常数膜之后,可以用电子束(e束)处理该膜,以减小膜的介电常数。电子束处理通常具有在约1到20千电子伏特(KeV)下的每平方厘米约50和约2000微库仑(μc/cm2)的剂量。e束电流通常从约1mA到约40mA,并且优选约10到约20mA。e束处理通常在约室温和约450℃之间的温度下进行约10秒到约15分钟。在一个方面,e束处理条件包括在350℃下6kV、10-18mA以及50μc/cm2,持续约15到约30秒,来处理具有约1微米厚度的膜。在另一个方面,e束处理条件包括在350℃下 4.5kV、10-18mA以及50μc/cm2,持续约15到约30秒,来处理具有约5000埃厚度的膜。在电子束处理期间,可以存在氩或者氢。虽然可以使用任何e束设备,但是一种示例性设备是可从Applied Material Inc.得到的EBK室。在沉积低介电常数膜之后用电子束处理该低介电常数膜将使该膜中的有机基团中的至少一部分挥发,该有机基团可能在膜中形成空洞。
或者,在另一个实施例中,在沉积低介电常数膜之后,用退火工艺对该膜进行后处理,以减小该膜的介电常数。优选地,将膜在约200℃和约400℃之间的温度下退火约2秒到约1小时,优选约30分钟。诸如氦、氢、氮或其混合物的非反应性气体以100到约10000sccm的流率被引入。室压力被维持在约2Torr和约10Torr之间。RF功率为约200W到约1000W,频率为约13.56MHz,并且优选的衬底间距为约300密耳和约800密耳之间。
可以利用任何能够进行化学气相沉积(CVD)的处理室来沉积膜。例如,图1示出了平行板CVD处理室10的垂直横截面视图。室10包括高真空区域15和气体分配歧管11,所述气体分配歧管11具有用于通过其分散处理气体到衬底(没有示出)的穿孔。衬底置放在衬底支撑板或者支座12上。支座12被安装在支撑杆13上,所述支撑杆13将支座12连接到升降电机14上。升降电机14在处理位置和较低的衬底装载位置之间提升和降低支座12,使得支座12(和被支撑在支座12上表面上的衬底)可以在较低的装载/卸载位置和较高的处理位置之间可控地移动,其中所述较高的处理位置紧邻歧管11。绝缘体17围绕处在较高处理位置时的支座12和衬底。
引入到歧管11中的气体径向地沿着衬底的整个表面被均匀地分配。具有节流阀的真空泵32控制通过歧管24从室10的气体排出速率。沉积气体和载气(如果需要的话)通过气体管线18流到混合系统19中,并且然后流到歧管11。一般来说,每一处理气体供应管线18包括:(i)安全关断阀(没有示出),其可以被用于自动或者手动地关断处理气体到室中的流动;以及(ii)质量流量控制器(也没有示出),用于测量通过气体供应管线18的气体流量。当有毒气体被用于此工艺中时,在常规的构造中,数个安全关断阀被置于每一气体供应管线18上。
在一个方面,环状有机硅氧烷以约75sccm到约500sccm的流率被引入到混合系统19中。任选的一种或者多种氧化气体具有约0sccm到约200sccm的总流率。一种或者多种惰性气体具有约100sccm到约5000sccm的总流率。优选地,环状有机硅化合物是八甲基环四硅氧烷,惰性气体是氦,并且氧化气体是氧。
上述流率可以根据所使用的处理室的尺寸变化。选择环状有机硅氧烷和一种或者多种惰性气体的流率,使得环状有机硅氧烷到室中的流率与一种或者多种惰性气体的总流率之比在约0.1到约0.2之间。
沉积工艺优选是等离子体增强工艺。在等离子体增强工艺中,通常通过利用RF功率源25施加到气体分配歧管11的RF能,邻近衬底形成受控等离子体。或者,RF功率可以被提供给支座12。到沉积室的RF功率可以是周期的或者脉冲的,以减小对衬底的加热并且促进沉积膜中的更大的孔隙率。
RF功率源25可以供应在约0.01MHz和300MHz之间的单个频率RF功率。优选地,可以利用混合的、同时的多个频率传输RF功率,以增强引入到高真空区域15中的反应物质的分解。在一个方面,混合频率是约12kHz的较低频率和约13.56mHz的较高频率。在另一个方面,较低频率可以在约300Hz到约1000kHz之间,并且较高频率可以在约5mHz和约50mHz之间。优选地,低频功率水平为约150W。优选地,高频功率水平为约200W到约750W,更优选地,为约200W到约400W。
在沉积期间,衬底被保持在约-20℃和约500℃之间,优选地约100℃和约450℃之间的温度下。沉积压力通常在约2Torr和约10Torr之间,优选地在约4Torr和约7Torr之间。沉积速率通常在约3000埃/分钟和约15000埃/分钟之间。
当氧化气体的附加分裂是所期望的时,任选的微波室28可以被用于在气体进入处理室10之前输入从约50W到约6000W之间的功率到氧化气体。附加的微波功率可以避免有机硅化合物在与氧化气体反应之前过量分裂。当微波功率被加到氧化气体上时,具有单独的用于有机硅化合物和氧化气体的通道的气体分配板(没有示出)是优选的。
通常,室衬里、分配歧管11、支座12和各种其他的反应器硬件中的任意一种或者全部由诸如铝或者阳极化铝之类的材料制成。这样的CVD反应器的示例在题目为“A Thermal CVD/PECVD Reactor and Use forThermal Chemical Vapor Deposition of Silicon Dioxide and In-situ Multi-stepPlanarized Process”的美国专利No.5,000,113中有描述,所述专利通过引用被包含在本文中。
系统控制器34通过控制线36控制与其连接的电机14、气体混合系统19和RF功率源25。系统控制器34控制CVD反应器的活动,并且通常包括硬盘驱动器、软盘驱动器和卡架。卡架包含单板计算机(SBC)、模拟和数字输入/输出板、接口板和步进电机控制器板。系统控制器34符合Versa Modular Europeans(VME)标准,该标准限定了板、卡盒以及连接器的尺寸和类型。VME标准还限定了具有16位数据总线和24位地址总线的总线结构。系统控制器34在存储在硬盘驱动器38上的计算机程序的控制下运行。
上面的对于CVD系统的描述主要是为了说明的目的,并且也可以使用诸如电极回旋共振(ECR)等离子体CVD设备、感应耦合RF高密度等离子体设备等之类的其他CVD装置。此外,上述系统的变化,诸如支座设计、加热器设计、RF功率连接的位置以及其他是可以的。例如,衬底可以由电阻加热的支座支撑和加热。
一旦膜被沉积,衬底可以被转移到电子束(e束)装置,用于进一步处理,即固化。衬底可以在停止真空的情况下,或者在真空下,即不用停止真空的情况下被转移。图2示出了根据本发明的实施例的e束室200。e束室200包括真空室220、大面积阴极222、位于无场区域238中的目标面230、以及定位在目标面230和大面积阴极222之间的栅格阳极226。e束室200还包括:高电压绝缘体224,其将栅格阳极226与大面积阴极222隔离;位于真空室220外部的阴极盖体绝缘体228;可变泄漏阀232,用于控制真空室220内的压力;连接到大面积阴极222的可变的高电压电源229;以及连接到栅格阳极226的可变的低电压电源231。
在运行时,将要用电子束曝光的衬底(没有示出)被放置在目标面230上。真空室220被从大气压抽气到约1mTorr到约200mTorr的范围内的压力。确切的压力由可变速率泄漏阀232控制,所述可变速率泄漏阀232能够将压力控制到约0.1mTorr。电子束一般在足够高的电压下产生,其中,高电压电源229将所述足够高的电压施加到大面积阴极222上。电压可以为从约-500V到约30000V或者更高的范围。高电压电源229可以是由Hickville,N.Y.的Bertan制造的Bertan Model # 105-30R,或者由Hauppauge,N.Y.的Spellman High Voltage Electronics Corp.,制造的Spellman Model #SL30N-1200X 258。可变低电压电源231将电压施加到栅格阳极226,所述电压相对于施加到大面积阴极222上的电压为正。此电压被用于控制从大面积阴极222的电子发射。可变低电压电源231可以是可从Easton,Pa的Acopian得到的Acopian Model #150PT12电源。
为了激发电子发射,在栅格阳极226和目标面30之间的无场区域238中的气体必须被电离,这可以由于自然产生的伽马射线而发生。电子发射也可以通过高电压火花隙在真空室220中人为激发。一旦此初始电离发生,正离子342(示于图3)通过施加到栅格阳极226的略负,即约0到约-200V的数量级的电压,被吸引到栅格阳极226。这些正离子342进入布置在大面积阴极222和栅格阳极226之间的加速场区域236,并且由于施加到大面积阴极222的高电压而被朝向大面积阴极222加速。在轰击大面积阴极222时,这些高能离子产生二次电子344,这些二次电子344被朝向栅格阳极226向回加速。这些电子344中的一些大致垂直于阴极表面行进,它们轰击栅格阳极226,但是这些电子344中的许多穿过栅格阳极226,并且行进到目标面230。栅格阳极226优选被定位在小于由大面积阴极222所发射的电子的平均自由程的距离出,例如,栅格阳极226优选被定位在距离大面积阴极222小于约4mm处。由于栅格阳极226和大面积阴极222之间的短的距离,在栅格阳极226和大面积阴极222之间的加速场区域236中没有发生电离或者如果发生的话也是最少的电离。
在常规的气体放电设备中,电子将在加速场区域中进一步产生正离子,所述正离子将被吸引到大面积阴极222,产生甚至更多的电子发射。这样的放电容易雪崩成为不稳定的高电压击穿。但是,根据本发明的实施例,通过施加到栅格阳极226的电压,可以控制(排斥或者吸引)在栅格阳极226外部产生的离子342。换句话说,通过变化栅格阳极226上的电压,可以持续地控制电子发射。或者,可以通过可变泄漏阀232控制电子发射,所述可变泄漏阀232被构造来增大或者减小在目标面230和大面积阴极222之间的电离区域中的分子数量。通过向栅格阳极226施加正电压,即当栅格阳极电压超过在栅格阳极226和目标面230之间的空间中产生的任何正离子物质的能量时,电子发射可以被完全关闭。
图4示出了具有反馈控制电路400的e束室200。在一些应用中,以不同的电子束能量提供恒定的束电流可能是所期望的。例如,可能所期望的是曝光或者固化形成在衬底上的膜的上层,而不是底层。这可以通过降低电子束能量使得大部分电子被吸收在膜的上层中来实现。在固化顶层之后,可能所期望的是固化膜的整个厚度。这可以通过升高电子束的加速电压以完全穿透膜来完成。反馈控制电路400被构造来维持不依赖于加速电压的变化的恒定束电流。反馈控制电路400包括积分器466。通过感测电阻器490对束电流进行取样,所述感测电阻器490被置于目标面230和积分器466之间。还可以在栅格阳极226处对束电流进行取样,因为束的一部分在此被截住。两个单位增益电压随动器492缓冲在感测电阻器490两端得到的信号,并将其馈送到带有可变电阻器494的放大器496。此放大器的输出控制栅格阳极226上的电压,使得束电流的增大将导致栅格阳极226上的偏压的减小和来自大面积阴极222的束电流的减小。通过可变电阻器494调节放大器496的增益,使得由加速电压的变化导致的束电流的任何变化被偏压的变化抵消,由此维持在目标处的恒定束电流。或者,放大器496的输出端可以被连接到电压控制的可变速率泄漏阀298,以通过升高或者降低电离区域238中的压力抵消束电流的变化。此外,通过使用到可变泄漏阀232和栅格阳极226两者的反馈信号,可以提供更宽范围的束电流控制。e束室200的其他细节在题目为“Large-Area UniformElectron Source”,被授予William R.Livesay并转让给Electron VisionCorporation的美国专利No.5003178(其现在属于本发明的受让人)中有描述,并且通过引用将该专利包含在本文中,只要其不与本发明冲突。
示例:
下面的示例举例说明了本发明的低介电性膜。利用作为集成处理平台的一部分的化学气相沉积室沉积这些膜。具体地,利用可从Santa Clara,California的Applied Materials,Inc.得到的
Figure C20048001512200141
300mm系统沉积这些膜。
示例1:
由下面的反应性气体,在约5Torr的室压力和约350℃的衬底温度下,在300mm衬底上沉积低介电常数膜。
八甲基环四硅氧烷(OMCTS),以约100sccm;
氧气,以约50sccm;和
氦,以约1000sccm
衬底被定位在距离气体分配喷洒头450密耳处。在13.56MHz频率下的约500W的功率水平和在350kHz频率下的约150W的功率水平被施加到喷洒头,用于膜的等离子体增强沉积。膜以约3510埃/分钟的速率被沉积,并具有在0.1MHz下所测量到的约3.35的介电常数(k)。膜具有-67.21MPa的压应力。
示例2:
由下面的反应性气体,在约5Torr的室压力和约350℃的衬底温度下,在300mm衬底上沉积低介电常数膜。
八甲基环四硅氧烷(OMCTS),以约150sccm;
氧气,以约75sccm;和
氦,以约1000sccm
衬底被定位在距离气体分配喷洒头450密耳处。在13.56MHz频率下的约500W的功率水平和在350kHz频率下的约150W的功率水平被施加到喷洒头,用于膜的等离子体增强沉积。膜以约5754埃/分钟的速率被沉积,并具有在0.1MHz下所测量到的约3.15的介电常数(k)。膜具有-13.34MPa的压应力。
示例3:
由下面的反应性气体,在约5Torr的室压力和约350℃的衬底温度下,在300mm衬底上沉积低介电常数膜。
八甲基环四硅氧烷(OMCTS),以约200sccm;
氧气,以约100sccm;和
氦,以约1000sccm
衬底被定位在距离气体分配喷洒头450密耳处。在13.56MHz频率下的约500W的功率水平和在350kHz频率下的约150W的功率水平被施加到喷洒头,用于膜的等离子体增强沉积。膜以约6899埃/分钟的速率被沉积,并具有在0.1MHz下所测量到的约2.98的介电常数(k)。膜具有7.29MPa的拉伸应力。
对比示例1:
由下面的反应性气体,在约5Torr的室压力和约350℃的衬底温度下,在300mm衬底上沉积低介电常数膜。
八甲基环四硅氧烷(OMCTS),以约215sccm;
氧气,以约160sccm;和
氦,以约1000sccm
衬底被定位在距离气体分配喷洒头450密耳处。在13.56MHz频率下的约500W的功率水平和在350kHz频率下的约150W的功率水平被施加到喷洒头,用于膜的等离子体增强沉积。膜以约8285埃/分钟的速率被沉积,并具有在0.1MHz下所测量到的约2.9的介电常数(k)。膜具有24.72MPa的拉伸应力。
对比示例2:
由下面的反应性气体,在约5Torr的室压力和约350℃的衬底温度下,在300mm衬底上沉积低介电常数膜。
八甲基环四硅氧烷(OMCTS),以约253sccm;
氧气,以约125sccm;和
氦,以约1000sccm
衬底被定位在距离气体分配喷洒头450密耳处。在13.56MHz频率下的约500W的功率水平和在350kHz频率下的约150W的功率水平被施加到喷洒头,用于膜的等离子体增强沉积。膜以约8041埃/分钟的速率被沉积,并具有在0.1MHz下所测量到的约2.83的介电常数(k)。膜具有20.03MPa的拉伸应力。
对比示例3:
由下面的反应性气体,在约5Torr的室压力和约350℃的衬底温度下,在300mm衬底上沉积低介电常数膜。
八甲基环四硅氧烷(OMCTS),以约302sccm;
氧气,以约160sccm;和
氦,以约1000sccm
衬底被定位在距离气体分配喷洒头450密耳处。在13.56MHz频率下的约500W的功率水平和在350kHz频率下的约150W的功率水平被施加到喷洒头,用于膜的等离子体增强沉积。膜以约8556埃/分钟的速率被沉积,并具有在0.1MHz下所测量到的约2.78的介电常数(k)。膜具有28.77MPa的拉伸应力。
示例4:
由下面的反应性气体,在约5Torr的室压力和约350℃的衬底温度下,在300mm衬底上沉积低介电常数膜。
八甲基环四硅氧烷(OMCTS),以约215sccm;和
氦,以约2000sccm
衬底被定位在距离气体分配喷洒头300密耳处。在13.56MHz频率下的约400W的功率水平和在350kHz频率下的约150W的功率水平被施加到喷洒头,用于膜的等离子体增强沉积。膜以约4275埃/分钟的速率被沉积,并具有在0.1MHz下所测量到的约3.12的介电常数(k)。膜具有-4.16MPa的压应力。
示例5:
由下面的反应性气体,在约5Torr的室压力和约350℃的衬底温度下,在300mm衬底上沉积低介电常数膜。
八甲基环四硅氧烷(OMCTS),以约215sccm;和
氦,以约1500sccm
衬底被定位在距离气体分配喷洒头300密耳处。在13.56MHz频率下的约400W的功率水平和在350kHz频率下的约150W的功率水平被施加到喷洒头,用于膜的等离子体增强沉积。膜以约4942埃/分钟的速率被沉积,并具有在0.1MHz下所测量到的约3.07的介电常数(k)。膜具有2.45MPa的拉伸应力。
对比示例4:
由下面的反应性气体,在约5Torr的室压力和约350℃的衬底温度下,在300mm衬底上沉积低介电常数膜。
八甲基环四硅氧烷(OMCTS),以约215sccm;和
氦,以约1000sccm
衬底被定位在距离气体分配喷洒头300密耳处。在13.56MHz频率下的约300W的功率水平和在350kHz频率下的约150W的功率水平被施加到喷洒头,用于膜的等离子体增强沉积。膜以约4062埃/分钟的速率被沉积,并具有在0.1MHz下所测量到的约2.96的介电常数(k)。膜具有20.25MPa的拉伸应力。
对比示例5:
由下面的反应性气体,在约5Torr的室压力和约350℃的衬底温度下,在300mm衬底上沉积低介电常数膜。
八甲基环四硅氧烷(OMCTS),以约215sccm;和
氦,以约1000sccm
衬底被定位在距离气体分配喷洒头300密耳处。在13.56MHz频率下的约400W的功率水平和在350kHz频率下的约150W的功率水平被施加到喷洒头,用于膜的等离子体增强沉积。膜以约5376埃/分钟的速率被沉积,并具有在0.1MHz下所测量到的约3.01的介电常数(k)。膜具有14.62MPa的拉伸应力。
示例1-3和对比示例1-3示出了用于由包含OMCTS、氦和氧气的气体混合物沉积低介电常数膜的处理条件。示例1-3的膜具有小于3.4的介电常数和<10MPa的应力。对比示例1和2的膜也具有小于3.4的介电常数。但是,对比示例1和2的膜具有大于20MPa的拉伸应力。如本文所定义的,具有拉伸应力的膜是当由FSM 128L仪器测量时具有大于0MPa的应力的膜。
示例4-5和对比示例3和4示出了用于由包含OMCTS和氦的气体混合物沉积低介电常数膜的处理条件。示例4-5的膜具有小于3.4的介电常数和<3MPa的应力。对比示例3和4的膜也具有小于3.4的介电常数。但是,对比示例3和4的膜具有大于14MPa的拉伸应力。
在示例1-5中较对比示例1-5中更低的OMCTS的流率与惰性载气氦的流率的之比被认为对示例1,2和4的压应力和示例3和5中的低拉伸应力有贡献。示例1-5具有从0.10到0.20的OMCTS/氦的流率之比,而对比示例具有0.215到0.302的OMCTS/氦的流率之比。
在约2Torr到约10Torr的室压力下反应在本文中所述的气体混合物也被认为对在本文中所描述的膜的<3.4的介电常数和压应力或者低拉伸应力有贡献。
虽然前文涉及本发明的优选实施例,但是可以想到本发明的其他和另外的实施例,而不偏离其基本范围,并且本发明的基本范围由所附的权利要求确定。

Claims (20)

1.一种沉积介电膜的方法,包括:
输送包含如下组分的气体混合物到室中的衬底:
一种或者多种环状有机硅氧烷;和
一种或者多种惰性气体,其中,所述一种或者多种环状有机硅氧烷到所述室中的总流率与所述一种或者多种惰性气体到所述室中的总流率之比为约0.10到约0.20;
将RF功率施加到所述气体混合物;以及
将低介电常数膜沉积在所述衬底上,所述低介电常数膜的介电常数值小于3.4。
2.如权利要求1所述的方法,其中,所述膜具有压应力。
3.如权利要求1所述的方法,其中,所述一种或者多种环状有机硅氧烷包含一个或者多个硅-碳键。
4.如权利要求3所述的方法,其中,所述一种或者多种环状有机硅氧烷是八甲基环四硅氧烷(OMCTS)。
5.如权利要求1所述的方法,其中,所述一种或者多种环状有机硅氧烷选自由1,3,5,7-四甲基环四硅氧烷(TMCTS),八甲基环四硅氧烷(OMCTS),1,3,5,7,9-五甲基环五硅氧烷,六甲基环三硅氧烷,和十甲基环五硅氧烷组成的组。
6.如权利要求1所述的方法,其中,所述气体混合物基本不含氧化气体。
7.如权利要求1所述的方法,其中,所述一种或者多种惰性气体选自由氦、氩及其组合组成的组。
8.如权利要求1所述的方法,还包括用电子束后处理所述低介电常数膜。
9.如权利要求1所述的方法,其中,所述室具有约2Torr到约10Torr的压力。
10.一种沉积介电膜的方法,包括:
向室中的衬底提供由一种或者多种环状有机硅氧烷和一种或者多种惰性气体组成的前驱体气体混合物,其中,所述一种或者多种环状有机硅氧烷到所述室中的总流率与所述一种或者多种惰性气体到所述室中的总流率之比为约0.10到约0.20;
将RF功率施加到所述气体混合物;以及
将低介电常数膜沉积在所述衬底上,所述膜具有约10MPa或者更小的应力,所述膜的介电常数值小于3.4。
11.如权利要求10所述的方法,还包括将所述前驱体气体混合物与一种或者多种氧化气体反应,其中,所述一种或者多种氧化气体选自由氧气、二氧化碳及其组合组成的组。
12.如权利要求10所述的方法,其中,所述一种或者多种环状有机硅氧烷是八甲基环四硅氧烷(OMCTS)。
13.如权利要求10所述的方法,其中,所述一种或者多种环状有机硅氧烷选自由1,3,5,7-四甲基环四硅氧烷(TMCTS),八甲基环四硅氧烷(OMCTS),1,3,5,7,9-五甲基环五硅氧烷,六甲基环三硅氧烷,和十甲基环五硅氧烷组成的组。
14.如权利要求10所述的方法,其中,所述一种或者多种惰性气体选自由氦、氩及其组合组成的组。
15.如权利要求10所述的方法,其中,所述应力是压应力。
16.如权利要求10所述的方法,其中,所述室具有约2Torr到约10Torr的压力。
17.一种沉积介电膜的方法,包括:
向室中的衬底提供包含如下组分的气体混合物:
一种或者多种环状有机硅氧烷;
一种或者多种惰性气体;和
一种或者多种氧化气体,其中,所述一种或者多种环状有机硅氧烷到所述室中的总流率与所述一种或者多种惰性气体到所述室中的总流率之比为约0.10到约0.20;
在包括约2Torr到约10Torr的室压力的条件下,将RF功率施加到所述气体混合物;以及
将低介电常数膜沉积在所述衬底上,所述膜的介电常数值小于3.4。
18.如权利要求17所述的方法,其中,所述一种或者多种氧化气体选自由氧气、二氧化碳及其组合组成的组。
19.如权利要求17所述的方法,其中,所述一种或者多种惰性气体选自由氦、氩及其组合组成的组。
20.如权利要求17所述的方法,其中,所述膜具有压应力。
CNB2004800151222A 2003-08-14 2004-08-02 Sioc低k膜的应力减小 Expired - Fee Related CN100541735C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/642,081 2003-08-14
US10/642,081 US20050037153A1 (en) 2003-08-14 2003-08-14 Stress reduction of sioc low k films

Publications (2)

Publication Number Publication Date
CN1799128A CN1799128A (zh) 2006-07-05
CN100541735C true CN100541735C (zh) 2009-09-16

Family

ID=34136527

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2004800151222A Expired - Fee Related CN100541735C (zh) 2003-08-14 2004-08-02 Sioc低k膜的应力减小

Country Status (5)

Country Link
US (1) US20050037153A1 (zh)
KR (1) KR20060059913A (zh)
CN (1) CN100541735C (zh)
TW (1) TWI325897B (zh)
WO (1) WO2005020310A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110034008A (zh) * 2017-11-28 2019-07-19 台湾积体电路制造股份有限公司 低k电介质及其形成工艺

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6913992B2 (en) 2003-03-07 2005-07-05 Applied Materials, Inc. Method of modifying interlayer adhesion
US7638859B2 (en) 2005-06-06 2009-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnects with harmonized stress and methods for fabricating the same
US7381659B2 (en) * 2005-11-22 2008-06-03 International Business Machines Corporation Method for reducing film stress for SiCOH low-k dielectric materials
US7780865B2 (en) 2006-03-31 2010-08-24 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
US7601651B2 (en) 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
US20070287301A1 (en) 2006-03-31 2007-12-13 Huiwen Xu Method to minimize wet etch undercuts and provide pore sealing of extreme low k (k<2.5) dielectrics
US20080050932A1 (en) * 2006-08-23 2008-02-28 Applied Materials, Inc. Overall defect reduction for PECVD films
US7598183B2 (en) * 2006-09-20 2009-10-06 Applied Materials, Inc. Bi-layer capping of low-K dielectric films
KR100939593B1 (ko) * 2006-11-21 2010-02-01 어플라이드 머티어리얼스, 인코포레이티드 습식 에칭 언더컷팅들을 최소화하고 k가 2.5 미만인 최저k 유전체들의 공극 밀봉을 제공하는 방법
US8736050B2 (en) 2009-09-03 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Front side copper post joint structure for temporary bond in TSV application
US9159907B2 (en) * 2011-08-04 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid film for protecting MTJ stacks of MRAM
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
US9847221B1 (en) * 2016-09-29 2017-12-19 Lam Research Corporation Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
WO2018213018A1 (en) * 2017-05-16 2018-11-22 Asm Ip Holding B.V. Selective peald of oxide on dielectric

Family Cites Families (66)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4649071A (en) * 1984-04-28 1987-03-10 Kabushiki Kaisha Toyota Chuo Kenkyusho Composite material and process for producing the same
US5000178A (en) * 1986-05-23 1991-03-19 Lti Biomedical, Inc. Shielded electromagnetic transducer
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US5298587A (en) * 1992-12-21 1994-03-29 The Dow Chemical Company Protective film for articles and method
JP2899600B2 (ja) * 1994-01-25 1999-06-02 キヤノン販売 株式会社 成膜方法
EP0720223B1 (en) * 1994-12-30 2003-03-26 STMicroelectronics S.r.l. Process for the production of a semiconductor device having better interface adhesion between dielectric layers
US5989998A (en) * 1996-08-29 1999-11-23 Matsushita Electric Industrial Co., Ltd. Method of forming interlayer insulating film
JPH10242142A (ja) * 1997-02-21 1998-09-11 Nippon Asm Kk 半導体素子とその製造方法
US6531193B2 (en) * 1997-07-07 2003-03-11 The Penn State Research Foundation Low temperature, high quality silicon dioxide thin films deposited using tetramethylsilane (TMS) for stress control and coverage applications
JP3411559B2 (ja) * 1997-07-28 2003-06-03 マサチューセッツ・インスティチュート・オブ・テクノロジー シリコーン膜の熱分解化学蒸着法
KR19990030660A (ko) * 1997-10-02 1999-05-06 윤종용 전자빔을 이용한 반도체장치의 층간 절연막 형성방법
US6051321A (en) * 1997-10-24 2000-04-18 Quester Technology, Inc. Low dielectric constant materials and method
US6140226A (en) * 1998-01-16 2000-10-31 International Business Machines Corporation Dual damascene processing for semiconductor chip interconnects
TW437017B (en) * 1998-02-05 2001-05-28 Asm Japan Kk Silicone polymer insulation film on semiconductor substrate and method for formation thereof
US6514880B2 (en) * 1998-02-05 2003-02-04 Asm Japan K.K. Siloxan polymer film on semiconductor substrate and method for forming same
US7064088B2 (en) * 1998-02-05 2006-06-20 Asm Japan K.K. Method for forming low-k hard film
US6383955B1 (en) * 1998-02-05 2002-05-07 Asm Japan K.K. Silicone polymer insulation film on semiconductor substrate and method for forming the film
US6881683B2 (en) * 1998-02-05 2005-04-19 Asm Japan K.K. Insulation film on semiconductor substrate and method for forming same
US6303523B2 (en) * 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6068884A (en) * 1998-04-28 2000-05-30 Silcon Valley Group Thermal Systems, Llc Method of making low κ dielectric inorganic/organic hybrid films
US6147009A (en) * 1998-06-29 2000-11-14 International Business Machines Corporation Hydrogenated oxidized silicon carbon material
US6312793B1 (en) * 1999-05-26 2001-11-06 International Business Machines Corporation Multiphase low dielectric constant material
US6204201B1 (en) * 1999-06-11 2001-03-20 Electron Vision Corporation Method of processing films prior to chemical vapor deposition using electron beam processing
EP1094506A3 (en) * 1999-10-18 2004-03-03 Applied Materials, Inc. Capping layer for extreme low dielectric constant films
JP3348084B2 (ja) * 1999-12-28 2002-11-20 キヤノン販売株式会社 成膜方法及び半導体装置
US6331494B1 (en) * 1999-12-30 2001-12-18 Novellus Systems, Inc. Deposition of low dielectric constant thin film without use of an oxidizer
US6582777B1 (en) * 2000-02-17 2003-06-24 Applied Materials Inc. Electron beam modification of CVD deposited low dielectric constant materials
JP3419745B2 (ja) * 2000-02-28 2003-06-23 キヤノン販売株式会社 半導体装置及びその製造方法
EP1317775A4 (en) * 2000-03-20 2009-01-28 Bekaert Sa Nv MATERIALS COMPRISING LOW DIELECTRIC CONSTANTS AND PROCESS FOR PRODUCING THE SAME
US6444136B1 (en) * 2000-04-25 2002-09-03 Newport Fab, Llc Fabrication of improved low-k dielectric structures
US6358839B1 (en) * 2000-05-26 2002-03-19 Taiwan Semiconductor Manufacturing Company Solution to black diamond film delamination problem
JP2002009069A (ja) * 2000-06-22 2002-01-11 Canon Sales Co Inc 成膜方法
JP4659329B2 (ja) * 2000-06-26 2011-03-30 ルネサスエレクトロニクス株式会社 半導体装置及びその製造方法
US6441491B1 (en) * 2000-10-25 2002-08-27 International Business Machines Corporation Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device containing the same
US6340628B1 (en) * 2000-12-12 2002-01-22 Novellus Systems, Inc. Method to deposit SiOCH films with dielectric constant below 3.0
US6583048B2 (en) * 2001-01-17 2003-06-24 Air Products And Chemicals, Inc. Organosilicon precursors for interlayer dielectric films with low dielectric constants
TW559860B (en) * 2001-05-10 2003-11-01 Toshiba Corp Method for manufacturing semiconductor device
US6879046B2 (en) * 2001-06-28 2005-04-12 Agere Systems Inc. Split barrier layer including nitrogen-containing portion and oxygen-containing portion
US6605549B2 (en) * 2001-09-29 2003-08-12 Intel Corporation Method for improving nucleation and adhesion of CVD and ALD films deposited onto low-dielectric-constant dielectrics
JP4152619B2 (ja) * 2001-11-14 2008-09-17 株式会社ルネサステクノロジ 半導体装置およびその製造方法
TWI247795B (en) * 2001-11-15 2006-01-21 Catalysts & Chem Ind Co Silica particles for polishing and a polishing agent
JP3701626B2 (ja) * 2001-12-06 2005-10-05 キヤノン販売株式会社 半導体装置の製造方法
US6699784B2 (en) * 2001-12-14 2004-03-02 Applied Materials Inc. Method for depositing a low k dielectric film (K>3.5) for hard mask application
US6890850B2 (en) * 2001-12-14 2005-05-10 Applied Materials, Inc. Method of depositing dielectric materials in damascene applications
US6838393B2 (en) * 2001-12-14 2005-01-04 Applied Materials, Inc. Method for producing semiconductor including forming a layer containing at least silicon carbide and forming a second layer containing at least silicon oxygen carbide
US6888984B2 (en) * 2002-02-28 2005-05-03 Sarnoff Corporation Amorphous silicon alloy based integrated spot-size converter
US20030194496A1 (en) * 2002-04-11 2003-10-16 Applied Materials, Inc. Methods for depositing dielectric material
US20030194495A1 (en) * 2002-04-11 2003-10-16 Applied Materials, Inc. Crosslink cyclo-siloxane compound with linear bridging group to form ultra low k dielectric
US6846515B2 (en) * 2002-04-17 2005-01-25 Air Products And Chemicals, Inc. Methods for using porogens and/or porogenated precursors to provide porous organosilica glass films with low dielectric constants
US6812043B2 (en) * 2002-04-25 2004-11-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming a carbon doped oxide low-k insulating layer
US20040101632A1 (en) * 2002-11-22 2004-05-27 Applied Materials, Inc. Method for curing low dielectric constant film by electron beam
US7060330B2 (en) * 2002-05-08 2006-06-13 Applied Materials, Inc. Method for forming ultra low k films using electron beam
US6936551B2 (en) * 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US6734533B2 (en) * 2002-05-30 2004-05-11 Intel Corporation Electron-beam treated CDO films
JP4066332B2 (ja) * 2002-10-10 2008-03-26 日本エー・エス・エム株式会社 シリコンカーバイド膜の製造方法
US6797643B2 (en) * 2002-10-23 2004-09-28 Applied Materials Inc. Plasma enhanced CVD low k carbon-doped silicon oxide film deposition using VHF-RF power
US6815332B2 (en) * 2002-10-30 2004-11-09 Asm Japan K.K. Method for forming integrated dielectric layers
US7404990B2 (en) * 2002-11-14 2008-07-29 Air Products And Chemicals, Inc. Non-thermal process for forming porous low dielectric constant films
US6897163B2 (en) * 2003-01-31 2005-05-24 Applied Materials, Inc. Method for depositing a low dielectric constant film
US7098149B2 (en) * 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US7288292B2 (en) * 2003-03-18 2007-10-30 International Business Machines Corporation Ultra low k (ULK) SiCOH film and method
US6737365B1 (en) * 2003-03-24 2004-05-18 Intel Corporation Forming a porous dielectric layer
US6849561B1 (en) * 2003-08-18 2005-02-01 Asm Japan K.K. Method of forming low-k films
US7622399B2 (en) * 2003-09-23 2009-11-24 Silecs Oy Method of forming low-k dielectrics using a rapid curing process
US7030468B2 (en) * 2004-01-16 2006-04-18 International Business Machines Corporation Low k and ultra low k SiCOH dielectric films and methods to form the same
US7115508B2 (en) * 2004-04-02 2006-10-03 Applied-Materials, Inc. Oxide-like seasoning for dielectric low k films

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110034008A (zh) * 2017-11-28 2019-07-19 台湾积体电路制造股份有限公司 低k电介质及其形成工艺
CN110034008B (zh) * 2017-11-28 2021-08-24 台湾积体电路制造股份有限公司 低k电介质及其形成工艺

Also Published As

Publication number Publication date
TW200510561A (en) 2005-03-16
CN1799128A (zh) 2006-07-05
WO2005020310A1 (en) 2005-03-03
US20050037153A1 (en) 2005-02-17
KR20060059913A (ko) 2006-06-02
TWI325897B (en) 2010-06-11

Similar Documents

Publication Publication Date Title
CN100541735C (zh) Sioc低k膜的应力减小
CN100400707C (zh) 用电子束硬化低介电常数膜的方法
TWI278961B (en) Deposition of low dielectric constant films by N2O addition
CN100594259C (zh) 改善低k叠层之间粘附性的界面工程
US8980382B2 (en) Oxygen-doping for non-carbon radical-component CVD films
US8647992B2 (en) Flowable dielectric using oxide liner
US8741788B2 (en) Formation of silicon oxide using non-carbon flowable CVD processes
CN101109074B (zh) 在硅和有机前驱物的pecvd工艺中减少气相反应以沉积无缺陷起始层方法
US20120177846A1 (en) Radical steam cvd
US20110159213A1 (en) Chemical vapor deposition improvements through radical-component modification
WO2012048041A2 (en) Amine curing silicon-nitride-hydride films
KR100899726B1 (ko) 디지털 액체 유량계에 의해 낮은 k 유전체 막을 위한개시층을 개선하는 방법
KR20120111738A (ko) 융통성을 가진 질소/수소 비율을 이용하여 제조된 라디칼에 의한 유전체 필름의 성장
KR20120125623A (ko) 라디칼-성분 cvd를 위한 인­시츄 오존 경화
CN101310370A (zh) 多孔质膜的成膜方法和计算机可读的记录介质
WO2014179072A1 (en) Low temperature flowable curing for stress accommodation
US20080044594A1 (en) Stress reduction of sioc low k film by addition of alkylenes to omcts based processes
JPWO2006043433A1 (ja) プラズマcvd装置
KR20050091780A (ko) 저-k 유전체 재료의 크랙 한계 및 기계적 특성 개선 방법및 장치
KR20050004844A (ko) 전자 비임에 의한 저유전상수 필름의 경화 방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20090916

Termination date: 20130802