CN100511054C - 实验管理系统与方法 - Google Patents

实验管理系统与方法 Download PDF

Info

Publication number
CN100511054C
CN100511054C CNB028156838A CN02815683A CN100511054C CN 100511054 C CN100511054 C CN 100511054C CN B028156838 A CNB028156838 A CN B028156838A CN 02815683 A CN02815683 A CN 02815683A CN 100511054 C CN100511054 C CN 100511054C
Authority
CN
China
Prior art keywords
experiment
basic technology
order
data
variation
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CNB028156838A
Other languages
English (en)
Other versions
CN1541348A (zh
Inventor
B·N·克里希纳穆尔蒂
P·C·霍金斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN1541348A publication Critical patent/CN1541348A/zh
Application granted granted Critical
Publication of CN100511054C publication Critical patent/CN100511054C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM]
    • G05B19/41875Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM] characterised by quality surveillance of production
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06QINFORMATION AND COMMUNICATION TECHNOLOGY [ICT] SPECIALLY ADAPTED FOR ADMINISTRATIVE, COMMERCIAL, FINANCIAL, MANAGERIAL OR SUPERVISORY PURPOSES; SYSTEMS OR METHODS SPECIALLY ADAPTED FOR ADMINISTRATIVE, COMMERCIAL, FINANCIAL, MANAGERIAL OR SUPERVISORY PURPOSES, NOT OTHERWISE PROVIDED FOR
    • G06Q50/00Information and communication technology [ICT] specially adapted for implementation of business processes of specific business sectors, e.g. utilities or tourism
    • G06Q50/04Manufacturing
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B15/00Systems controlled by a computer
    • G05B15/02Systems controlled by a computer electric
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06QINFORMATION AND COMMUNICATION TECHNOLOGY [ICT] SPECIALLY ADAPTED FOR ADMINISTRATIVE, COMMERCIAL, FINANCIAL, MANAGERIAL OR SUPERVISORY PURPOSES; SYSTEMS OR METHODS SPECIALLY ADAPTED FOR ADMINISTRATIVE, COMMERCIAL, FINANCIAL, MANAGERIAL OR SUPERVISORY PURPOSES, NOT OTHERWISE PROVIDED FOR
    • G06Q10/00Administration; Management
    • G06Q10/06Resources, workflows, human or project management; Enterprise or organisation planning; Enterprise or organisation modelling
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45031Manufacturing semiconductor wafers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]

Landscapes

  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Business, Economics & Management (AREA)
  • Automation & Control Theory (AREA)
  • General Engineering & Computer Science (AREA)
  • Strategic Management (AREA)
  • Human Resources & Organizations (AREA)
  • Manufacturing & Machinery (AREA)
  • Quality & Reliability (AREA)
  • Economics (AREA)
  • Theoretical Computer Science (AREA)
  • Marketing (AREA)
  • Tourism & Hospitality (AREA)
  • Entrepreneurship & Innovation (AREA)
  • General Business, Economics & Management (AREA)
  • Game Theory and Decision Science (AREA)
  • Operations Research (AREA)
  • Health & Medical Sciences (AREA)
  • General Health & Medical Sciences (AREA)
  • Primary Health Care (AREA)
  • Educational Administration (AREA)
  • Development Economics (AREA)
  • General Factory Administration (AREA)
  • Management, Administration, Business Operations System, And Electronic Commerce (AREA)
  • Testing Of Individual Semiconductor Devices (AREA)

Abstract

在自动化环境中为自动进行实验提供系统、方法和介质,而不需要拆分出这一环境中的测试对象(如半导体芯片或芯片)。一个“实验”是利用自动化环境的已有(如预先规定的)工艺的一种预定的偏差。用于实验管理的计算机实现的方法、系统和计算机可读介质,如那些与半导体技术相关的方法、系统和介质。实验命令包括能够在自动化环境中操作的基本工艺的某些偏差。从用户分布列表中获得实验命令的批准,同时允许用户与实验命令附加文档,或可修改实验。将实验命令转换和存储为处理数据,该数据适合于在所述自动化环境中执行。根据处理数据,使得实验与所述基本工艺的至少一部分一起被自动化环境执行。

Description

实验管理系统与方法
发明背景
技术领域
本发明涉及用以管理实验的与计算机相关的方法、系统与介质。尤其涉及到管理与工艺中变化相关的实验,该工艺例如用以支配半导体技术制造。
背景技术
多数工业中的机器、材料和工艺正日益变得复杂和费用昂贵。同时,产生了这样一种要求,即进行工艺以及机器和材料质量的持续改进。
半导体和其他产品通常是在预定工艺的控制之下制造的。这些预定工艺相当复杂。例如,用于生产半导体芯片的一种预定制造工艺可能包含五百至七百五十个工序。而且,每个这样的工序都可能包括几个变量,例如6个重要的变量。
为了改进生产或测试理论,常常需要通过改变基本制造工艺的某些小部分来进行实验。例如,一位工程师可能想要使半导体的多层中的一层变厚10%。这可能需要额外的15秒执行对应于该工序的方法,而且也许要在随后工序中进行一些调整。典型的是,这位工程师并不新创建一种包括这些修改的新的基本工艺以适用于所希望的测试,因为这将太费时了。
不幸的是,运用传统技术的这样一个实验要求人工介入和结果的人工跟踪。因而,进行实验的工程师或操作员会得到多个半导体芯片,并且在自动化的(例如生产或模拟生产的制造)环境之外对它们进行处理。这样,进行实验的产品需要从自动化环境中取出,而这既费时,又可能会引入外部因素,并且外部因素可能最终(且并非在意料之中)影响实验的结果。另外,这样取出半导体芯片会使得难以协调变化或实验记录的人工跟踪,且难以控制实验和分析所有结果。
结果是,对研究和开发工程师、在工厂设备上工作的操作员和其他使用者来说,始终有这样一种需求:对现有工艺作改变的实验应是灵活、方便和可跟踪的。
发明内容
本发明通过提供在自动化的(如生产或模拟生产制造)环境中用于自动化实验的系统、方法和介质,而不需要从这一环境中使测试对象(如单个半导体芯片或多个芯片)拆分出来,缓和了上面所述的传统技术的一些问题。根据本发明的至少一些实施例,一个“实验”是利用自动化环境的一种已建立的(例如预先规定的)工艺的至少某一部分的一种预先计划的偏差。
根据本发明的至少一些实施例,实验始于一个实验的命令(即请求启动实验),该命令最初是作为一种非正式请求发出的,送至一个计算机化的系统,路经各个规定的使用者,也许经过修改,而最终得到批准。为便于进行所请求的实验,实验管理包括四个概念上不同的阶段:命令管理,配置,执行以及分析。本发明的命令管理部分有助于自动地引导实验命令的形成(上面提到过)并且跟踪实验。配置阶段典型地是处理实验的人工或自动转换,把普遍的语句、请求或设定的结果转换为数据,该数据规定要被自动化环境执行的特定工艺。执行阶段包括基于该工艺数据而通过自动化环境执行该实验自身,还包括实验结果的汇总。在分析阶段,报告和分析实验的结果。
根据本发明的至少一些实施例,在操作时接收实验命令,该实验命令至少包括一个能运用于自动化环境中的基本工艺的某种偏差。然后获得对该实验命令的批准。实验命令的至少一部分被转换成适合于被所述自动化环境执行的处理数据,并且储存起来。根据该处理数据,通过自动化环境,结合所述基本工艺的至少某些部分,引发所要执行的实验。
进一步说,本发明可包括存储数据,该数据规定实验命令、将该向实验命令分送给多个使用者、从其中至少一个使用者得到对该实验命令的变化、并从至少一个使用者处接收对该实验命令的批准。而且可将文档附加到实验请求上。
另外,可公布信息,指示实验请求的状态变化,对附加到实验请求上的附加文档做出响应,或对实验命令的状态中的变化做出响应。
此外,所述实验可产生至少一个测试产品和至少一个生产产品(即一种对照物,其例如可以是在测试产品之前或之后受到处理的产品,以及根据基本工艺受到处理的产品);处理数据可包括基本工艺的指示,对该基本工艺的变化,以及一对照物组(即从属于该实验的产品)的拆分;而拆分出的对照物组根据基本工艺可产生至少一个生产产品,对该基本工艺的变化可产生至少一个测试产品。实验的执行结果可以被储存起来。
附图说明
本发明的上述的以及其他的优点和特征将由附图的详尽描述变得更加显而易见,其中:
图1是一个计算机化的工艺控制系统的方框图,该系统可与本发明的至少某些实施例结合使用;
图2是根据本发明的至少某些实施例的用于实验管理的整个方法的流程图;
图3A和B是图2中整个方法的命令管理方法部分的流程图;
图4是图2中整个方法的配置方法部分的流程图;
图5是图2中整个方法的执行方法部分的流程图;
图6是图2中整个方法的分析方法部分的流程图;
图7是一个表明实验规定的示意图;
图8是一个用于实验编辑的示例性使用者界面,其与本发明的至少某些实施例结合使用;
图9是一个用于实验编辑的示例性使用者界面,其指明附件,与本发明结合使用;
图10是一个用于实验编辑的示例性使用者界面,其指明实验内容,应用于本发明的至少某些实施例;
图11是一个用于实验编辑的示例性使用者界面,其指明圆片级分割(wafer level split)的细节,应用于本发明的至少某些实施例;
图12是一个实验的至少某些实施例的说明。
具体实施方式
以下详细描述包括许多特定的细节。包含这些细节只是为了说明目的,而不应理解为对本发明做出限制。为了参考的方便,在通篇这种讨论中,在各种图表中均以同样的数字来表示相类似的各个部分。
如在以上发明内容部分所指出的,根据本发明的至少某些实施例,一个“实验”是一种利用自动化环境的基本工艺的至少某一部分的预先计划的偏差。典型的是,可对诸如半导体芯片等材料进行实验,该材料是作为一种自动化方法的结果生产的。同样如上文所指出的,本发明的至少某些实施例,预见到实验管理包括四个概念上不同的阶段:命令管理,配置,执行和分析。虽然这些阶段在概念上是不同的,但它们可能会偶尔重叠。
根据本发明的至少某些实施例,报告、记录、表格、文件和其他文档可与整个命令管理和配置阶段的特定实验相关联。这些文档可供得到允许利用本实验的使用者查阅。这使使用者和查阅者得以用一种用户友好的界面、高度灵活的方式,对实验作出评价、提供背景信息、提供适当的表格、附加相关的信息,等等。由于其灵活性,会吸引使用者提供输入,并会导致更高质量的实验。
现在参考图1,其为一方框图,概括说明一个计算机化的工艺控制系统,该系统可应用于本发明的至少某些实施例。如图所示,实验命令101被输入到一个计算机化的系统,概括性地表示为输入到控制器103。实验命令101包含所需实验的例如为文本形式的描述。例如,实验命令101可以是一个包含文字的字处理文档。作为一种替代,它还可以从菜单输入。在实验命令101中所描述的实验是用以产生结果的一种偏离现有自动化工艺的偏差,虽然它被描述为偏离特定工艺的偏差,但这并非是必需的。
控制器103可存取各种存储的工艺111,如半导体芯片的制造工艺。控制器103可以是通用计算机,也可以是特别编程的专用计算机,或者是其他自动化系统或分布式系统。(一般来说,在此所用的这类计算机,或其用途明显是来源于所讨论内容的计算机,可以是各种不同类型的计算机,包括那些包含来自美国加利福尼亚州Santa Clara的英特尔公司的处理器的计算机,其中所述计算机可包含任何数目和不同类型的存储装置,如计算机可读介质;另外,本发明的至少某些实施例设想了该计算机可读介质可以是一种传输介质。)所存储的工艺111包含着制造工艺中的许多自动化工序。这些工序的内容的实际格式是由系统和该系统中的各装置来确定的。该工艺中的一些工序利用了存储在方法数据库113中的方法。方法可被不同的工艺所共用。控制器103控制着如生产系统105这样的自动化环境的操作,生产系统105最终产生生产产品107,或按实验的安排产生测试产品109。因此,本发明允许使用者提出实验请求,产生基本工艺的派生工艺,并跟踪实验请求的状态。
参考图2,这是根据本发明的至少某些实施例的用于实验管理的整个方法的流程图。概念上的四个阶段(如上所述)包括:命令管理201,制造执行系统(MES)配置203,执行205,和分析207。
在下文进一步详细说明的命令管理阶段201将定义实验命令。典型的是,在实验命令中将一个实验定义为一组请求,并将其规定为一种偏离现有工艺的偏差。实验命令在被批准得以进行下一阶段之前,须经各种人员的传送、审阅以及变化。
在MES配置阶段203,实验命令被转换为实验配置,即特殊的工艺数据,该数据可由生产系统中的各部分加以执行。工艺数据采用各生产系统部分所期望的格式。在典型情况下,在基本工艺的各工序之间插入(和/或替代现有工序)用于进行实验的数据。
在执行阶段205,基于实验配置来完成材料的执行。这一阶段大部分或全部都是由生产系统部分自动完成的。在这一执行阶段203,所实施的配置中的每一工序的结果都要记录。
在分析阶段207,将报告和分析实验的结果。这可由计算机自动完成,和/或可包括使用者所作分析。
参考图3A和3B,其为图2中整个方法的示例性命令管理阶段的流程图,如本发明的至少某些实施例所设想的。这一阶段允许在实验请求审阅和签发(sign-off)后,请求进行和完成实验。在步骤301,最初由请求者来定义实验。为便于实验,所设想的是,请求可以用任何适当的形式提出。一种适当的形式是电子文档形式的文字描述。请注意,实验可被非正式地描述。并不一定要以偏离现有工艺的偏差形式来为最初的实验请求定义实验。
在步骤303产生实验对象(或以其他方式存储实验数据)。收集最初的信息以标识请求者和实验,例如以对象的形式存储该信息。随后将实验请求分送给分配表中所标识的合适的使用者。
在步骤305,接到实验请求(例如用于审阅)的使用者可对该实验请求附加外部文件、记录、表格或其他文档。可利用使文档与实验请求相关联的能力来帮助实现有关实验请求的用户交互作用。然后,这些文档可被其他使用者查阅。
在步骤307,使用者(或自动化实体)确定对特定基本工艺所做变化。使用者(或自动化实体)也可确定要修改的基本工艺。还有,在步骤309,使用者(或自动化实体)将确定何时从分出一批控制装置,以及何时执行该批特殊的事务处理。在步骤311,使用者(或自动化实体)确定哪个方法发生变化,如果有,确定需要变化的内容。在已经确定对基本工艺所做的特定变化时,系统将这些变化作为处理数据加以接收和存储。在步骤313,由于实验已被使用者初步掌握(tweaked),将其传送以便签发,如图3B所示。在步骤315,如果实验已为使用者们所批准,本方法在步骤317结束,而实验则继续发展到概念上的下一个阶段。否则,本方法回到步骤305以便做进一步处理。
图3B说明签发方法的一个实施例。在步骤321,接到实验请求(例如用于审阅)的使用者可对于该实验请求附加外部文件、记录、表格或其他文档,它们可随后被其他使用者审阅。如果在步骤323对实验请求附加或删除文档,或者如果在步骤325对实验请求有状态变化,这些信息将在步骤327公布。一种合适的公布方式是通过电子邮件将这些信息发送给列出的使用者。例如,一种状态变化可以包括对于实验(或一部分实验)的“签发”。在步骤329,如果没有收到最终批准的指示(或赞成而没有批准),本方法在步骤321重复进行。如果收到最终的批准,该阶段在步骤331结束。
参考图4,这是图2整个方法的配置阶段203部分的流程图。在配置阶段,使用者可设定特定的实验。例如,使用者可设定实验特定的数据,如标线或方法的细节。在步骤401,使用者(或自动化实体)检索并审阅实验命令。如上所指出的,该实验命令可以是一个实验的非正式的描述。使用者能够确定应该如何执行一种工艺以影响所请求的实验,或者例如通过解析该实验的描述和识别出表明所请求内容的特定的关键字或词组,使得该工艺可自动执行。本发明的至少某些实施例设想到可利用(举例来说)各种专门的系统技术来完成这一工作。本发明的至少某些实施例也设想了自动执行与使用者参与的一些组合。
同样参考图4,在步骤403,使用者(或自动化实体)确定要对一个特定基本工艺所做的变化。使用者(或自动化实体)还可确定要经修改的基本工艺。同样,在步骤405,使用者(或自动化实体)将确定何时分离出一批控制装置,以及所要执行的该批特定的事务处理。在步骤407,使用者(或自动化实体)确定哪个方法发生变化,如果有,确定需要变化的内容。在已经确定要对基本工艺所做的特定变化时,系统将这些变化作为处理数据加以接收和存储。
参考图5,这是图2整个方法的执行阶段205的流程图。此时已经以处理数据的方式定义了实验,该数据可被输入给自动化环境。此时即能以对该自动化环境透明的方式处理该实验。在步骤501,自动化环境接收用于修改后工艺的处理数据。在步骤503,自动化环境执行处理数据的步骤。如果有任何测试产品有待存储,则系统在步骤505至507存储这些测试产品。在步骤509,如果处理未完成,自动化环境即返回到步骤503继续处理。当处理完成,这一阶段在步骤511结束。
参考图6,这是图2整个方法的分析阶段207的流程图。可利用实验历史配置信息和历史数据以进行分析和报告。在步骤601,收集实验结果。在步骤603,可利用实验结果进行分析。例如某个使用者可能希望进行该结果的人工分析。在步骤605,自动化环境执行所请求的任何计算机化分析。在步骤607至609,如果对实验提出任何改变,则使用者可产生另一个实验请求。在步骤611完成分析。
参考图7,其为一个说明实验定义的视图,其中实验定义如同于本发明的至少某些实施例中所设想的。具体地说,实验701最初与存储数据相关联,该数据包括例如由使用者所定义的属性信息703,以及定义如何进行实验操作的操作信息705。一个实验可能在最初产生时是无可借鉴的,或者其可由用作模板的另一个实验复制而来。典型的属性可包括充分的信息,用以识别关于实验的有用信息,如实验标识符、实验对象、请求者名字、实验名称,请求者的电子邮箱地址。
当实验被初步定义后,开始状态将是“欠改变”状态707(表明该实验可被改变),而一旦实验被批准,则结束阶段是有效的(被分送的)711。在欠改变状态之后而在有效的状态之前,可能有一系列使用者定义的状态709,它们处于使用者的控制之下。在实验被批准并获签发后,就进入有效的状态。最好是,使用者在未获适当的允许时,不能改变实验内容。可以有其他使用者定义的属性,以及附加的外部文档和/或文件,及使用者定义的状态模型。根据一种可能的实施方案,可将实验作为对象执行。需指出的是,这种状态表对应于命令管理方法部分。
图8-11是可结合用于本发明的至少某些实施例的可能的用户界面的一些例子。首先参见图8,这是用于实验编辑的示例性用户界面的一种情况。用户可在此关于提供实验811、实验属性813及可选择性关于实验类别815的信息。实验信息可包括概述实验描述的对象801。其他实验信息包括请求者标识信息803(如名字、电子邮箱地址);实验的基本工艺或状态模型805;以及可选择的在实验请求后将到期的有效日期807。在这一初始界面所收集的信息与实验请求相关联。
参考图9,这是用于实验编辑的示例性用户界面的另一种情况,表明了与本发明的至少某些实施例结合使用的附件。在这些实施例中,诸如(但不限于是)文件、记录、表格、Web地址这样的文档可附加到实验请求或与其相关联。图9通过示例方式以文件名909列出几个附加至实验请求的文档:本地文档experiment.doc 901;另一文档的文件路径C:\Experiment\Experiment.doc 903;一个网站www.consolium.com905;以及一个超链接文档http://www.consolium.com/corp_events.html?phase=ge 907。本例中的用户界面也指出是否该文件是简单的参考文件911。
参考图10,这是用于实验编辑的示例性用户界面的另一种情况,表明了与本发明的至少某些实施例结合使用的实验内容。这个示例性用户界面允许访问实验内容1001、物理拆分细节1003和合并细节1005,该拆分对标准和测试材料做不同处理,而该合并则详述在上述拆分后合并时如何处理标准和测试材料。实验内容1001提供控制实验工艺的文件。其在此命名为实验工艺1007、实验路径1009和实验操作1011。应指出的是,尚可提供有关实验的更多信息,如处理是否是在拆分之前或拆分之后1013。
参考图11,这是用于实验编辑的示例性用户界面,表明与本发明结合使用的圆片级拆分细节。这里,处理数据提供在批量级、槽级或单元级上的细节,本实例涉及到槽级拆分。如图所示,拆分细节1103提供了要拆分的槽和数量;以及与每个拆分相关联的工艺规划1105。
参考图12,其表明本发明所设想的实验的至少某些实施例。每个实验命令1201可以与其各种文档相关联,如文件1203、表格1205、记录1207和实验结果1209。使用者可将文档附加到实验命令中/从实验命令中删除文档。优选的是,将附加文档视作一个事件,并可导致该事件例如通过e-mail(电子邮件)或工作流程而公布。
使用者可复制实验命令,连同其附加文档、属性和其他相关信息。
还有,根据本发明的至少某些实施例,对于实验命令的变化可存储在历史记录中。所存储的变化包括本机属性(native attribute)、外部文档增添/删除及与其他对象相关联的变化。
考虑一个实验的例子,参考图3至图6。在这个例子中,使用者想让一个芯片的指定层加厚10%。这个例子中的实验是源于一个工程师的想法。该实验请求由使用者定义,并以步骤301至步骤303提交给系统。它可能是一个带有简单文字描述的非常普通的请求。为该实验请求生成一个实验对象,实验请求在步骤305至步骤313被路由到适当的使用者以便批准。批准可以是自动化的,如通过电子邮件传送同时等待被批准时的标记。如步骤321至步骤329所示,在收到对实验的签发指令之前,使用者可对于实验对象附加和/或删除相关文件、记录等。如果有附加或删除,或如果实验改变了状态,就对使用者公布事件,这展示于步骤323至327中。审阅处理将继续到收到签发指令为止。
一旦收到签发指令,实验命令即受到审阅并被转换成处理数据,如图4所示。这种审阅和转换可以是一种由具有适当经验的人员完成的人工处理。另外,它也可全部或部分地由自动化装置来完成。例如,在任何情况下,可在步骤403确定将成为批量中对照物的圆片1-11(即已有的步骤将不受影响),而该批量中的其余圆片将成为测试产品。同样可确定,一个标准基本工艺的第500次循环中的某个特定参数必须从100变为200。可在步骤405规定,对照物将被从其他处理中拆分出来。如有必要的话,将在步骤407创造一种新方法,或修改现有的方法。所有的圆片均将处于自动控制下。两个批量将重新被结合和保持,或传送以用于分析。与基本工艺的各种变化、特定执行的事务处理、和任何方法变化有关的信息将被作为处理数据而存储。需指出的是,实验可要求收集额外的或不同的信息,作为处理结果的一部分。
然后进行实验,如图5所示。在这一点上,实验处理数据所受处理与例行的控制工作没有不同之处。亦即不需要另外的处理。在步骤501,处理数据被输入到制造系统,而通过在步骤503执行该处理数据,测试可自动进行。在步骤505-507存储实验执行过程中产生的结果。
在实验之后,可以依据测试材料到标准产品材料将测试产品重新分类,若其在容差范围内将被运送给客户。可选择的是,非标准的处理材料可被废弃(scrappeds),或留待进一步分析,如图6所示。
尽管结合上文的特定实施例描述本发明,但许多替换、修改和变化对本领域技术人员来说是显而易见的。因此,所提出的本发明的优选实施例用意是说明性的而不是限定性的。在不脱离所附权利要求定义的本发明的精神和范围的情况下,可以做出各种变化。
例如,完全从头开始定义整个一个实验是可能的。一个典型的半导体制造工艺有500到750个工序,因此,作为源自现有工艺的一种变例来定义一个实验经常是更加有效的。
作为另一个例子,控制器可以是一个通用计算机,一个特殊编程的专用计算机;它也可作为一种分布式计算机系统实现,而不是一台独立计算机。

Claims (20)

1.一种与自动处理技术有关的用于管理实验的计算机实现的方法,包括以下步骤:
(A)接收实验命令,所述实验命令包括偏离可在自动化环境中操作的基本工艺的至少某个预先计划的偏差;
(B)获得所述实验命令的批准;
(C)将所述实验命令的至少一部分转换和存储为处理数据,该数据适合于被所述自动化环境执行;和
(D)根据所述处理数据,使得所述实验与所述基本工艺的一部分一起被所述自动化环境执行。
2.根据权利要求1的方法,其中所述的获得步骤进一步包括以下步骤:存储定义所述实验命令的数据,向多个使用者分送所述实验命令,从至少一个所述使用者获得对所述实验命令的变化,并且从至少一个使用者接收对于所述实验命令的批准。
3.根据权利要求1的方法,进一步包括对所述实验命令附加文档的步骤。
4.根据权利要求3的方法,进一步包括以下步骤:对附加到所述实验命令的文档做出响应,或对所述实验命令的状态中的变化做出响应,而公布表明所述实验命令的状态变化的信息。
5.根据权利要求1的方法,其中所述的转换步骤进一步包括接收所述处理数据的步骤。
6.根据权利要求5的方法,其中:
所述实验产生至少一个测试产品和至少一个生产产品;并且
其中所述处理数据包括所述基本工艺的指示、对所述基本工艺的变化和一对照物组的拆分;且
其中所述对照物组的拆分根据所述基本工艺产生至少一个生产产品,而对所述基本工艺的变化产生至少一个测试产品。
7.根据权利要求1的方法,进一步包括接收和存储所述实验的执行结果的步骤。
8.根据权利要求1的方法,其中所述自动化环境产生半导体技术。
9.根据权利要求1的方法,其中所述处理数据与以下至少其中之一相关:对所述基本工艺自身的变化,对所述基本工艺进行的添加,以及对所述基本工艺进行的省略。
10.根据权利要求1的方法,进一步包括以下步骤:对附加到所述实验命令的文档做出响应,或对所述实验命令的状态中的变化做出响应,而公布表明所述实验命令的状态变化的信息。
11.一种与自动处理技术有关的用于管理实验的计算机实现的系统,包括:
(A)实验命令,所述实验命令包括偏离可在自动化环境中操作的基本工艺的至少某个预先计划的偏差;
(B)所述实验命令的批准,它是对所述实验命令的接收做出响应而获得的;
(C)适合于被所述自动化环境执行的处理数据,它是由所述实验命令的至少一部分转换而来的;并且
(D)其中所述自动化环境根据所述处理数据,使得所述实验与所述基本工艺的至少一部分一起被所述自动化环境执行。
12.根据权利要求11的系统,其中所述批准进一步包括:定义所述实验命令的被存储数据,所述实验命令向多个使用者的分送,来自至少一个所述使用者的对所述实验命令的被存储的变化,和被接收到的来自至少一个使用者的对于所述实验命令的批准。
13.根据权利要求11的系统,进一步包括至少一个附加于所述实验命令的文档。
14.根据权利要求11的系统,进一步包括:表明所述实验命令的状态变化的信息,该信息是对附加于所述实验命令的文档做出响应或对所述实验命令的状态中的变化做出响应而公布的。
15.根据权利要求11的系统,其中所述处理数据是从一个使用者接收到的。
16.根据权利要求15的系统,其中:
所述实验产生至少一个测试产品和至少一个生产产品;并且
其中所述处理数据包括基本工艺的指示、对所述基本工艺的变化和一对照物组的拆分;且
其中所述对照物组的拆分根据所述基本工艺产生至少一个生产产品,而对所述基本工艺的变化产生至少一个测试产品。
17.根据权利要求11的系统,其中所述实验的执行结果被接收和存储。
18.根据权利要求11的系统,其中所述自动化环境产生半导体技术。
19.根据权利要求11的系统,其中所述处理数据与以下至少其中之一相关:对所述基本工艺自身的变化,对所述基本工艺进行的添加,以及对所述基本工艺进行的省略。
20.一种在自动化生产或制造系统中进行实验的方法,包括以下步骤:
(A)将所述自动化生产或制造系统的至少一个基本工艺和方法保存在存储器中,其中所述至少一个基本工艺包括多个自动化工序,并且所述多个自动化工序可利用所述方法;
(B)对照所述基本工艺和方法,根据接收的实验命令确定所述实验命令对一个特定基本工艺及一个或多个方法所做的变化,并将所述变化存储为处理数据;
(C)将所述处理数据插入所述特定基本工艺的各自动化工序之间,或者用所述处理数据来替代所述特定基本工艺中的现有自动化工序;以及
(D)根据所述处理数据,使得实验与所述特定基本工艺的至少一部分一起被所述自动化生产或制造系统执行。
CNB028156838A 2001-08-14 2002-08-06 实验管理系统与方法 Expired - Fee Related CN100511054C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/928,474 2001-08-14
US09/928,474 US6984198B2 (en) 2001-08-14 2001-08-14 Experiment management system, method and medium

Publications (2)

Publication Number Publication Date
CN1541348A CN1541348A (zh) 2004-10-27
CN100511054C true CN100511054C (zh) 2009-07-08

Family

ID=25456281

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB028156838A Expired - Fee Related CN100511054C (zh) 2001-08-14 2002-08-06 实验管理系统与方法

Country Status (8)

Country Link
US (1) US6984198B2 (zh)
EP (1) EP1417549A2 (zh)
JP (1) JP2005520225A (zh)
KR (1) KR20040025744A (zh)
CN (1) CN100511054C (zh)
AU (1) AU2002356021A1 (zh)
TW (1) TW578081B (zh)
WO (1) WO2003017013A2 (zh)

Families Citing this family (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6863771B2 (en) * 2001-07-25 2005-03-08 Micron Technology, Inc. Differential pressure application apparatus for use in polishing layers of semiconductor device structures and methods
US20040205572A1 (en) * 2002-02-19 2004-10-14 Wendell Fields Systems and methods for providing information in a computer network
US20030199112A1 (en) * 2002-03-22 2003-10-23 Applied Materials, Inc. Copper wiring module control
US6864107B1 (en) * 2003-06-11 2005-03-08 Advanced Micro Devices, Inc. Determination of nonphotolithographic wafer process-splits in integrated circuit technology development
US20050113976A1 (en) * 2003-11-10 2005-05-26 Blueshift Technologies, Inc. Software controller for handling system
US7133735B2 (en) * 2005-01-27 2006-11-07 Taiwan Semiconductor Manufacturing Co., Ltd Experiment management system and method thereof in semiconductor manufacturing environment
US7477958B2 (en) * 2005-05-11 2009-01-13 International Business Machines Corporation Method of release and product flow management for a manufacturing facility
JP2008083806A (ja) * 2006-09-26 2008-04-10 Hitachi Software Eng Co Ltd 研究開発財産管理システム
US8082045B1 (en) 2007-06-29 2011-12-20 Intermolecular, Inc. Substrate processing recipe manager
JP5291911B2 (ja) * 2007-09-28 2013-09-18 株式会社日立ハイテクノロジーズ 計測システム
US8219349B1 (en) 2007-12-21 2012-07-10 Intermolecular, Inc. Test management system
US20090200674A1 (en) * 2008-02-07 2009-08-13 International Business Machines Corporation Structure and method of forming transitional contacts between wide and thin beol wirings
US9287086B2 (en) 2010-04-26 2016-03-15 Advanced Energy Industries, Inc. System, method and apparatus for controlling ion energy distribution
US11615941B2 (en) 2009-05-01 2023-03-28 Advanced Energy Industries, Inc. System, method, and apparatus for controlling ion energy distribution in plasma processing systems
US9767988B2 (en) 2010-08-29 2017-09-19 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
US9435029B2 (en) 2010-08-29 2016-09-06 Advanced Energy Industries, Inc. Wafer chucking system for advanced plasma ion energy processing systems
US9287092B2 (en) * 2009-05-01 2016-03-15 Advanced Energy Industries, Inc. Method and apparatus for controlling ion energy distribution
JP5470063B2 (ja) * 2010-01-22 2014-04-16 株式会社アマダ 曲げ加工システム及びその方法
US8670857B2 (en) * 2010-02-02 2014-03-11 Applied Materials, Inc. Flexible process condition monitoring
US9309594B2 (en) 2010-04-26 2016-04-12 Advanced Energy Industries, Inc. System, method and apparatus for controlling ion energy distribution of a projected plasma
US9362089B2 (en) 2010-08-29 2016-06-07 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
US20120239169A1 (en) * 2011-03-18 2012-09-20 Rockwell Automation Technologies, Inc. Transparent models for large scale optimization and control
US8897900B2 (en) 2011-03-18 2014-11-25 Rockwell Automation Technologies, Inc. Graphical language for optimization and use
US8874242B2 (en) * 2011-03-18 2014-10-28 Rockwell Automation Technologies, Inc. Graphical language for optimization and use
US8420531B2 (en) 2011-06-21 2013-04-16 International Business Machines Corporation Enhanced diffusion barrier for interconnect structures
US9210790B2 (en) * 2012-08-28 2015-12-08 Advanced Energy Industries, Inc. Systems and methods for calibrating a switched mode ion energy distribution system
US9685297B2 (en) 2012-08-28 2017-06-20 Advanced Energy Industries, Inc. Systems and methods for monitoring faults, anomalies, and other characteristics of a switched mode ion energy distribution system
JP6377060B2 (ja) 2012-08-28 2018-08-22 アドバンスト・エナジー・インダストリーズ・インコーポレイテッドAdvanced Energy Industries, Inc. 広ダイナミックレンジイオンエネルギーバイアス制御、高速イオンエネルギー切り替え、イオンエネルギー制御およびパルスバイアス供給部、および仮想フロントパネル
US9406617B1 (en) 2015-11-19 2016-08-02 International Business Machines Corporation Structure and process for W contacts
US10177091B2 (en) 2016-02-19 2019-01-08 Globalfoundries Inc. Interconnect structure and method of forming
US9773735B1 (en) 2016-08-16 2017-09-26 International Business Machines Corporation Geometry control in advanced interconnect structures
US9953864B2 (en) 2016-08-30 2018-04-24 International Business Machines Corporation Interconnect structure
US9768118B1 (en) 2016-09-19 2017-09-19 International Business Machines Corporation Contact having self-aligned air gap spacers
US9786603B1 (en) 2016-09-22 2017-10-10 International Business Machines Corporation Surface nitridation in metal interconnects
US9721895B1 (en) 2016-10-06 2017-08-01 International Business Machines Corporation Self-formed liner for interconnect structures
EP4231328A1 (en) 2017-11-17 2023-08-23 AES Global Holdings, Pte. Ltd. Synchronized pulsing of plasma processing source and substrate bias
WO2019099937A1 (en) 2017-11-17 2019-05-23 Advanced Energy Industries, Inc. Improved application of modulating supplies in a plasma processing system
WO2019099925A1 (en) 2017-11-17 2019-05-23 Advanced Energy Industries, Inc. Spatial and temporal control of ion bias voltage for plasma processing
US11133216B2 (en) 2018-06-01 2021-09-28 International Business Machines Corporation Interconnect structure
JP7107526B2 (ja) 2018-08-27 2022-07-27 株式会社エビデント 実験情報管理システム、実験ノートシステム、実験ノート生成装置、画面生成装置、実験情報管理方法、及び、プログラム
US10916503B2 (en) 2018-09-11 2021-02-09 International Business Machines Corporation Back end of line metallization structure
US10714382B2 (en) 2018-10-11 2020-07-14 International Business Machines Corporation Controlling performance and reliability of conductive regions in a metallization network
CN110233122A (zh) * 2019-06-04 2019-09-13 华经信息技术(上海)有限公司 半导体生产线mes系统及其实验方法
TW202109611A (zh) 2019-07-12 2021-03-01 新加坡商Aes全球公司 具有單一控制開關之偏壓供應器
US11942309B2 (en) 2022-01-26 2024-03-26 Advanced Energy Industries, Inc. Bias supply with resonant switching
US11670487B1 (en) 2022-01-26 2023-06-06 Advanced Energy Industries, Inc. Bias supply control and data processing
US11978613B2 (en) 2022-09-01 2024-05-07 Advanced Energy Industries, Inc. Transition control in a bias supply

Family Cites Families (183)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US77031A (en) * 1868-04-21 e ole vbl a n d
US3205485A (en) 1960-10-21 1965-09-07 Ti Group Services Ltd Screening vane electro-mechanical transducer
US3229198A (en) 1962-09-28 1966-01-11 Hugo L Libby Eddy current nondestructive testing device for measuring multiple parameter variables of a metal sample
US3767900A (en) 1971-06-23 1973-10-23 Cons Paper Inc Adaptive controller having optimal filtering
CH569321A5 (zh) 1973-10-03 1975-11-14 Siemens Ag
US4000458A (en) 1975-08-21 1976-12-28 Bell Telephone Laboratories, Incorporated Method for the noncontacting measurement of the electrical conductivity of a lamella
US4209744A (en) 1976-04-29 1980-06-24 Fedosenko Jury K Eddy current device for automatically testing the quality of elongated electrically conductive objects by non-destructive techniques
US4207520A (en) 1978-04-06 1980-06-10 The United States Of America As Represented By The Secretary Of The Air Force Multiple frequency digital eddy current inspection system
US4302721A (en) 1978-05-08 1981-11-24 Tencor Instruments Non-contacting resistivity instrument with structurally related conductance and distance measuring transducers
US4368510A (en) 1980-10-20 1983-01-11 Leeds & Northrup Company Automatic identification system for self tuning process controller
US4609870A (en) 1981-03-27 1986-09-02 Hocking Electronics Limited Lift off compensation of eddy current crack detection system by controlling damping resistance of oscillator
US4616308A (en) 1983-11-15 1986-10-07 Shell Oil Company Dynamic process control
EP0162670B1 (en) 1984-05-19 1991-01-02 British Aerospace Public Limited Company Industrial processing and manufacturing systems
US4967381A (en) 1985-04-30 1990-10-30 Prometrix Corporation Process control interface system for managing measurement data
US4663703A (en) 1985-10-02 1987-05-05 Westinghouse Electric Corp. Predictive model reference adaptive controller
FR2589566A1 (fr) 1985-11-06 1987-05-07 Cegedur Procede de mesure au defile et sans contact de l'epaisseur et de la temperature de feuilles metalliques minces au moyen de courants de foucault
US4750141A (en) 1985-11-26 1988-06-07 Ade Corporation Method and apparatus for separating fixture-induced error from measured object characteristics and for compensating the measured object characteristic with the error, and a bow/warp station implementing same
US4974543A (en) 1986-02-28 1990-12-04 Xerox Corporation Apparatus for amorphous silicon film
US4755753A (en) 1986-07-23 1988-07-05 General Electric Company Eddy current surface mapping system for flaw detection
US5021997A (en) * 1986-09-29 1991-06-04 At&T Bell Laboratories Test automation system
JPH0776905B2 (ja) * 1987-01-06 1995-08-16 日本電信電話株式会社 文書管理装置
US4901218A (en) 1987-08-12 1990-02-13 Renishaw Controls Limited Communications adaptor for automated factory system
US5345587A (en) 1988-09-14 1994-09-06 Digital Equipment Corporation Extensible entity management system including a dispatching kernel and modules which independently interpret and execute commands
US4938600A (en) 1989-02-09 1990-07-03 Interactive Video Systems, Inc. Method and apparatus for measuring registration between layers of a semiconductor wafer
US4957605A (en) 1989-04-17 1990-09-18 Materials Research Corporation Method and apparatus for sputter coating stepped wafers
JP2780814B2 (ja) 1989-06-22 1998-07-30 株式会社日立製作所 生産管理システム
US5485082A (en) 1990-04-11 1996-01-16 Micro-Epsilon Messtechnik Gmbh & Co. Kg Method of calibrating a thickness measuring device and device for measuring or monitoring the thickness of layers, tapes, foils, and the like
US5208765A (en) 1990-07-20 1993-05-04 Advanced Micro Devices, Inc. Computer-based method and system for product development
US5495417A (en) 1990-08-14 1996-02-27 Kabushiki Kaisha Toshiba System for automatically producing different semiconductor products in different quantities through a plurality of processes along a production line
US5220517A (en) 1990-08-31 1993-06-15 Sci Systems, Inc. Process gas distribution system and method with supervisory control
US5270222A (en) 1990-12-31 1993-12-14 Texas Instruments Incorporated Method and apparatus for semiconductor device fabrication diagnosis and prognosis
US5226118A (en) 1991-01-29 1993-07-06 Prometrix Corporation Data analysis system and method for industrial process control systems
WO1992014197A1 (en) 1991-02-08 1992-08-20 Kabushiki Kaisha Toshiba Model forecasting controller
GB2257507B (en) 1991-06-26 1995-03-01 Digital Equipment Corp Semiconductor wafer processing with across-wafer critical dimension monitoring using optical endpoint detection
US5469361A (en) 1991-08-08 1995-11-21 The Board Of Regents Acting For And On Behalf Of The University Of Michigan Generic cell controlling method and apparatus for computer integrated manufacturing system
US5240552A (en) 1991-12-11 1993-08-31 Micron Technology, Inc. Chemical mechanical planarization (CMP) of a semiconductor wafer using acoustical waves for in-situ end point detection
US5916891A (en) * 1992-01-13 1999-06-29 Smithkline Beecham Corporation Pyrimidinyl imidazoles
US5525808A (en) 1992-01-23 1996-06-11 Nikon Corporaton Alignment method and alignment apparatus with a statistic calculation using a plurality of weighted coordinate positions
US5283141A (en) 1992-03-05 1994-02-01 National Semiconductor Photolithography control system and method using latent image measurements
US5857258A (en) 1992-03-13 1999-01-12 The United States Of America As Represented By The Secretary Of Commerce Electrical test structure and method for measuring the relative locations of conductive features on an insulating substrate
US5602492A (en) 1992-03-13 1997-02-11 The United States Of America As Represented By The Secretary Of Commerce Electrical test structure and method for measuring the relative locations of conducting features on an insulating substrate
JPH076939A (ja) * 1992-12-02 1995-01-10 Hitachi Ltd 生産管理システム
FR2700403B1 (fr) 1993-01-12 1995-04-07 Sextant Avionique Procédé de structuration d'informations utilisées dans un processus industriel et son application à l'assistance au pilotage d'un aérodyne.
US5586039A (en) 1993-03-29 1996-12-17 Texas Instruments Incorporated Computer-aided manufacturing support method and system for specifying relationships and dependencies between process type components
US5369544A (en) 1993-04-05 1994-11-29 Ford Motor Company Silicon-on-insulator capacitive surface micromachined absolute pressure sensor
US5402367A (en) 1993-07-19 1995-03-28 Texas Instruments, Incorporated Apparatus and method for model based process control
US5642296A (en) 1993-07-29 1997-06-24 Texas Instruments Incorporated Method of diagnosing malfunctions in semiconductor manufacturing equipment
JP3039210B2 (ja) 1993-08-03 2000-05-08 日本電気株式会社 半導体装置の製造方法
US5546312A (en) 1993-09-20 1996-08-13 Texas Instruments Incorporated Use of spatial models for simultaneous control of various non-uniformity metrics
US5503707A (en) 1993-09-22 1996-04-02 Texas Instruments Incorporated Method and apparatus for process endpoint prediction based on actual thickness measurements
DE69425100T2 (de) 1993-09-30 2001-03-15 Koninkl Philips Electronics Nv Dynamisches neuronales Netzwerk
US5497381A (en) 1993-10-15 1996-03-05 Analog Devices, Inc. Bitstream defect analysis method for integrated circuits
US5375064A (en) 1993-12-02 1994-12-20 Hughes Aircraft Company Method and apparatus for moving a material removal tool with low tool accelerations
US5526293A (en) 1993-12-17 1996-06-11 Texas Instruments Inc. System and method for controlling semiconductor wafer processing
US5420796A (en) 1993-12-23 1995-05-30 Vlsi Technology, Inc. Method of inspecting planarity of wafer surface after etchback step in integrated circuit fabrication
US5664987A (en) 1994-01-31 1997-09-09 National Semiconductor Corporation Methods and apparatus for control of polishing pad conditioning for wafer planarization
US5511005A (en) 1994-02-16 1996-04-23 Ade Corporation Wafer handling and processing system
US5666297A (en) 1994-05-13 1997-09-09 Aspen Technology, Inc. Plant simulation and optimization software apparatus and method using dual execution models
US5494854A (en) 1994-08-17 1996-02-27 Texas Instruments Incorporated Enhancement in throughput and planarity during CMP using a dielectric stack containing HDP-SiO2 films
JP3402412B2 (ja) 1994-09-20 2003-05-06 株式会社リコー プロセスシミュレーション入力データ設定装置
US5519605A (en) 1994-10-24 1996-05-21 Olin Corporation Model predictive control apparatus and method
DE4446966A1 (de) 1994-12-28 1996-07-04 Itt Ind Gmbh Deutsche Informationssystem zur Produktionskontrolle
US5534289A (en) 1995-01-03 1996-07-09 Competitive Technologies Inc. Structural crack monitoring technique
US5572438A (en) * 1995-01-05 1996-11-05 Teco Energy Management Services Engery management and building automation system
US5617023A (en) 1995-02-02 1997-04-01 Otis Elevator Company Industrial contactless position sensor
US5646870A (en) 1995-02-13 1997-07-08 Advanced Micro Devices, Inc. Method for setting and adjusting process parameters to maintain acceptable critical dimensions across each die of mass-produced semiconductor wafers
JPH08250384A (ja) * 1995-03-14 1996-09-27 Hitachi Ltd 製造装置及び製造条件制御方法
US5831851A (en) 1995-03-21 1998-11-03 Seagate Technology, Inc. Apparatus and method for controlling high throughput sputtering
US5761065A (en) 1995-03-30 1998-06-02 Advanced Micro Devices, Inc. Arrangement and method for detecting sequential processing effects in manufacturing
US5541510A (en) 1995-04-06 1996-07-30 Kaman Instrumentation Corporation Multi-Parameter eddy current measuring system with parameter compensation technical field
US5665214A (en) 1995-05-03 1997-09-09 Sony Corporation Automatic film deposition control method and system
US5649169A (en) 1995-06-20 1997-07-15 Advanced Micro Devices, Inc. Method and system for declustering semiconductor defect data
US5665199A (en) 1995-06-23 1997-09-09 Advanced Micro Devices, Inc. Methodology for developing product-specific interlayer dielectric polish processes
US5599423A (en) 1995-06-30 1997-02-04 Applied Materials, Inc. Apparatus and method for simulating and optimizing a chemical mechanical polishing system
US5828778A (en) 1995-07-13 1998-10-27 Matsushita Electric Industrial Co., Ltd. Method and apparatus for analyzing failure of semiconductor wafer
US5825913A (en) 1995-07-18 1998-10-20 Cognex Corporation System for finding the orientation of a wafer
KR0153617B1 (ko) 1995-09-20 1998-12-01 김광호 반도체 집적회로 제조공정방법
US5777901A (en) 1995-09-29 1998-07-07 Advanced Micro Devices, Inc. Method and system for automated die yield prediction in semiconductor manufacturing
US5761064A (en) 1995-10-06 1998-06-02 Advanced Micro Devices, Inc. Defect management system for productivity and yield improvement
US5654903A (en) 1995-11-07 1997-08-05 Lucent Technologies Inc. Method and apparatus for real time monitoring of wafer attributes in a plasma etch process
US5603707A (en) 1995-11-28 1997-02-18 The Procter & Gamble Company Absorbent article having a rewet barrier
JP3892493B2 (ja) 1995-11-29 2007-03-14 大日本スクリーン製造株式会社 基板処理システム
US5719796A (en) 1995-12-04 1998-02-17 Advanced Micro Devices, Inc. System for monitoring and analyzing manufacturing processes using statistical simulation with single step feedback
US5824599A (en) 1996-01-16 1998-10-20 Cornell Research Foundation, Inc. Protected encapsulation of catalytic layer for electroless copper interconnect
US5674787A (en) 1996-01-16 1997-10-07 Sematech, Inc. Selective electroless copper deposited interconnect plugs for ULSI applications
KR100192216B1 (ko) 1996-02-29 1999-06-15 황인길 웨이퍼 맵 변환방법
US5825356A (en) 1996-03-18 1998-10-20 Wall Data Incorporated Help system with semitransparent window for disabling controls
US5943550A (en) * 1996-03-29 1999-08-24 Advanced Micro Devices, Inc. Method of processing a semiconductor wafer for controlling drive current
US5871805A (en) 1996-04-08 1999-02-16 Lemelson; Jerome Computer controlled vapor deposition processes
US5735055A (en) 1996-04-23 1998-04-07 Aluminum Company Of America Method and apparatus for measuring the thickness of an article at a plurality of points
US5660895A (en) 1996-04-24 1997-08-26 Board Of Supervisors Of Louisiana State University And Agricultural And Mechanical College Low-temperature plasma-enhanced chemical vapor deposition of silicon oxide films and fluorinated silicon oxide films using disilane as a silicon precursor
US5859777A (en) 1996-05-14 1999-01-12 Toshiba Kikai Kabushiki Kaisha Casting control support system for die casting machines
US5663797A (en) 1996-05-16 1997-09-02 Micron Technology, Inc. Method and apparatus for detecting the endpoint in chemical-mechanical polishing of semiconductor wafers
JPH1086040A (ja) 1996-06-13 1998-04-07 Mitsubishi Electric Corp 多系統の自動プログラミング方法及びその装置
JPH10112493A (ja) 1996-08-13 1998-04-28 Sony Corp 表面矯正薄板保持装置、面調整手段及び向き調整手段
US5844554A (en) 1996-09-17 1998-12-01 Bt Squared Technologies, Inc. Methods and systems for user interfaces and constraint handling configurations software
US5667424A (en) 1996-09-25 1997-09-16 Chartered Semiconductor Manufacturing Pte Ltd. New chemical mechanical planarization (CMP) end point detection apparatus
US6041263A (en) * 1996-10-01 2000-03-21 Aspen Technology, Inc. Method and apparatus for simulating and optimizing a plant model
US5928389A (en) * 1996-10-21 1999-07-27 Applied Materials, Inc. Method and apparatus for priority based scheduling of wafer processing within a multiple chamber semiconductor wafer processing tool
US5859964A (en) 1996-10-25 1999-01-12 Advanced Micro Devices, Inc. System and method for performing real time data acquisition, process modeling and fault detection of wafer fabrication processes
US5874345A (en) 1996-11-18 1999-02-23 International Business Machines Corporation Method for planarizing TEOS SiO2 filled shallow isolation trenches
US5695810A (en) 1996-11-20 1997-12-09 Cornell Research Foundation, Inc. Use of cobalt tungsten phosphide as a barrier material for copper metallization
US5862054A (en) 1997-02-20 1999-01-19 Taiwan Semiconductor Manufacturing Company, Ltd. Process monitoring system for real time statistical process control
TW396308B (en) * 1997-04-01 2000-07-01 Tumbleweed Software Corp Document delivery system
US5912678A (en) * 1997-04-14 1999-06-15 Texas Instruments Incorporated Process flow design at the module effects level through the use of acceptability regions
US6111634A (en) * 1997-05-28 2000-08-29 Lam Research Corporation Method and apparatus for in-situ monitoring of thickness using a multi-wavelength spectrometer during chemical-mechanical polishing
US5926690A (en) * 1997-05-28 1999-07-20 Advanced Micro Devices, Inc. Run-to-run control process for controlling critical dimensions
US6012048A (en) * 1997-05-30 2000-01-04 Capital Security Systems, Inc. Automated banking system for dispensing money orders, wire transfer and bill payment
TW436369B (en) * 1997-07-11 2001-05-28 Tokyo Seimitsu Co Ltd Wafer polishing device
US6100184A (en) * 1997-08-20 2000-08-08 Sematech, Inc. Method of making a dual damascene interconnect structure using low dielectric constant material for an inter-level dielectric layer
US6017437A (en) * 1997-08-22 2000-01-25 Cutek Research, Inc. Process chamber and method for depositing and/or removing material on a substrate
US6113462A (en) * 1997-12-18 2000-09-05 Advanced Micro Devices, Inc. Feedback loop for selective conditioning of chemical mechanical polishing pad
KR100258841B1 (ko) * 1997-12-26 2000-06-15 윤종용 반도체 제조설비 관리시스템의 설비 유닛상태 관리방법
EP0932194A1 (en) * 1997-12-30 1999-07-28 International Business Machines Corporation Method and system for semiconductor wafer fabrication process real-time in-situ interactive supervision
KR100278600B1 (ko) * 1998-01-14 2001-01-15 윤종용 반도체 제조설비 관리시스템의 설비유닛의 상태 관리방법
TW400621B (en) * 1998-01-26 2000-08-01 United Microelectronics Corp Metallization structure and the manufacture method thereof
US6271670B1 (en) * 1998-02-09 2001-08-07 Sandia Corporation Method and apparatus for detecting external cracks from within a metal tube
JP3978696B2 (ja) * 1998-02-13 2007-09-19 三菱マテリアル株式会社 汚泥処理システム
US6228280B1 (en) * 1998-05-06 2001-05-08 International Business Machines Corporation Endpoint detection by chemical reaction and reagent
US6381564B1 (en) * 1998-05-28 2002-04-30 Texas Instruments Incorporated Method and system for using response-surface methodologies to determine optimal tuning parameters for complex simulators
US6116461A (en) * 1998-05-29 2000-09-12 Pyxis Corporation Method and apparatus for the dispensing of drugs
US6230069B1 (en) * 1998-06-26 2001-05-08 Advanced Micro Devices, Inc. System and method for controlling the manufacture of discrete parts in semiconductor fabrication using model predictive control
US6233493B1 (en) * 1998-09-16 2001-05-15 I2 Technologies, Inc. Computer-implemented product development planning method
US6277014B1 (en) * 1998-10-09 2001-08-21 Applied Materials, Inc. Carrier head with a flexible membrane for chemical mechanical polishing
US6249913B1 (en) * 1998-10-09 2001-06-19 General Dynamics Ots (Aerospace), Inc. Aircraft data management system
JP4365914B2 (ja) * 1998-11-25 2009-11-18 キヤノン株式会社 半導体製造装置およびデバイス製造方法
US6339727B1 (en) * 1998-12-21 2002-01-15 Recot, Inc. Apparatus and method for controlling distribution of product in manufacturing process
US6100195A (en) * 1998-12-28 2000-08-08 Chartered Semiconductor Manu. Ltd. Passivation of copper interconnect surfaces with a passivating metal layer
US6212961B1 (en) * 1999-02-11 2001-04-10 Nova Measuring Instruments Ltd. Buffer system for a wafer handling system
US6281127B1 (en) * 1999-04-15 2001-08-28 Taiwan Semiconductor Manufacturing Company Self-passivation procedure for a copper damascene structure
US6259160B1 (en) * 1999-04-21 2001-07-10 Advanced Micro Devices, Inc. Apparatus and method of encapsulated copper (Cu) Interconnect formation
US6303395B1 (en) * 1999-06-01 2001-10-16 Applied Materials, Inc. Semiconductor processing techniques
US6360133B1 (en) * 1999-06-17 2002-03-19 Advanced Micro Devices, Inc. Method and apparatus for automatic routing for reentrant process
KR100649387B1 (ko) * 1999-06-22 2006-11-27 브룩스 오토메이션 인코퍼레이티드 초소형전자 제조에 사용하기 위한 공정수행 간 제어기
US6046108A (en) * 1999-06-25 2000-04-04 Taiwan Semiconductor Manufacturing Company Method for selective growth of Cu3 Ge or Cu5 Si for passivation of damascene copper structures and device manufactured thereby
US6607926B1 (en) * 1999-08-10 2003-08-19 Advanced Micro Devices, Inc. Method and apparatus for performing run-to-run control in a batch manufacturing environment
US6391780B1 (en) * 1999-08-23 2002-05-21 Taiwan Semiconductor Manufacturing Company Method to prevent copper CMP dishing
US6306008B1 (en) * 1999-08-31 2001-10-23 Micron Technology, Inc. Apparatus and method for conditioning and monitoring media used for chemical-mechanical planarization
US6556881B1 (en) * 1999-09-09 2003-04-29 Advanced Micro Devices, Inc. Method and apparatus for integrating near real-time fault detection in an APC framework
US6560504B1 (en) * 1999-09-29 2003-05-06 Advanced Micro Devices, Inc. Use of contamination-free manufacturing data in fault detection and classification as well as in run-to-run control
US6427093B1 (en) * 1999-10-07 2002-07-30 Advanced Micro Devices, Inc. Method and apparatus for optimal wafer-by-wafer processing
US6417014B1 (en) * 1999-10-19 2002-07-09 Advanced Micro Devices, Inc. Method and apparatus for reducing wafer to wafer deposition variation
KR100311077B1 (ko) * 1999-10-23 2001-11-02 윤종용 선행공정의 결과에 따라 최적의 후행공정장비 및/또는 후행공정조건을 가변적으로 적용하는 로트 디스패칭방법 및 이를 위한 시스템
US6096649A (en) * 1999-10-25 2000-08-01 Taiwan Semiconductor Manufacturing Company Top metal and passivation procedures for copper damascene structures
US6532555B1 (en) * 1999-10-29 2003-03-11 Advanced Micro Devices, Inc. Method and apparatus for integration of real-time tool data and in-line metrology for fault detection in an advanced process control (APC) framework
US6546508B1 (en) * 1999-10-29 2003-04-08 Advanced Micro Devices, Inc. Method and apparatus for fault detection of a processing tool in an advanced process control (APC) framework
US6355559B1 (en) * 1999-11-18 2002-03-12 Texas Instruments Incorporated Passivation of inlaid metallization
US6640151B1 (en) * 1999-12-22 2003-10-28 Applied Materials, Inc. Multi-tool control system, method and medium
US6449524B1 (en) * 2000-01-04 2002-09-10 Advanced Micro Devices, Inc. Method and apparatus for using equipment state data for run-to-run control of manufacturing tools
US6465263B1 (en) * 2000-01-04 2002-10-15 Advanced Micro Devices, Inc. Method and apparatus for implementing corrected species by monitoring specific state parameters
KR20010077968A (ko) * 2000-01-26 2001-08-20 한기형 인터넷 광고 방법 및 시스템
US6517414B1 (en) * 2000-03-10 2003-02-11 Appied Materials, Inc. Method and apparatus for controlling a pad conditioning process of a chemical-mechanical polishing apparatus
JP4874465B2 (ja) * 2000-03-28 2012-02-15 株式会社東芝 渦電流損失測定センサ
US6616513B1 (en) * 2000-04-07 2003-09-09 Applied Materials, Inc. Grid relief in CMP polishing pad to accurately measure pad wear, pad profile and pad wear profile
US6245581B1 (en) * 2000-04-19 2001-06-12 Advanced Micro Devices, Inc. Method and apparatus for control of critical dimension using feedback etch control
US6291367B1 (en) * 2000-06-01 2001-09-18 Atmel Corporation Method for depositing a selected thickness of an interlevel dielectric material to achieve optimum global planarity on a semiconductor wafer
US6609946B1 (en) * 2000-07-14 2003-08-26 Advanced Micro Devices, Inc. Method and system for polishing a semiconductor wafer
US6400162B1 (en) * 2000-07-21 2002-06-04 Ade Corporation Capacitive displacement sensor for measuring thin targets
US6725402B1 (en) * 2000-07-31 2004-04-20 Advanced Micro Devices, Inc. Method and apparatus for fault detection of a processing tool and control thereof using an advanced process control (APC) framework
US6541401B1 (en) * 2000-07-31 2003-04-01 Applied Materials, Inc. Wafer pretreatment to decrease rate of silicon dioxide deposition on silicon nitride compared to silicon substrate
US6442496B1 (en) * 2000-08-08 2002-08-27 Advanced Micro Devices, Inc. Method and apparatus for dynamic sampling of a production line
US6708074B1 (en) * 2000-08-11 2004-03-16 Applied Materials, Inc. Generic interface builder
US6537912B1 (en) * 2000-08-25 2003-03-25 Micron Technology Inc. Method of forming an encapsulated conductive pillar
KR20020022530A (ko) * 2000-09-20 2002-03-27 가나이 쓰도무 반도체제조장치의 원격진단시스템 및 원격진단방법
JP3634734B2 (ja) * 2000-09-22 2005-03-30 株式会社日立製作所 プラズマ処理装置および処理方法
US6432728B1 (en) * 2000-10-16 2002-08-13 Promos Technologies, Inc. Method for integration optimization by chemical mechanical planarization end-pointing technique
US6346426B1 (en) * 2000-11-17 2002-02-12 Advanced Micro Devices, Inc. Method and apparatus for characterizing semiconductor device performance variations based on independent critical dimension measurements
US6625497B2 (en) * 2000-11-20 2003-09-23 Applied Materials Inc. Semiconductor processing module with integrated feedback/feed forward metrology
US6728587B2 (en) * 2000-12-27 2004-04-27 Insyst Ltd. Method for global automated process control
US6535783B1 (en) * 2001-03-05 2003-03-18 Advanced Micro Devices, Inc. Method and apparatus for the integration of sensor data from a process tool in an advanced process control (APC) framework
US6540591B1 (en) * 2001-04-18 2003-04-01 Alexander J. Pasadyn Method and apparatus for post-polish thickness and uniformity control
JP2002373843A (ja) * 2001-06-14 2002-12-26 Nec Corp 塗布装置及び塗布膜厚制御方法
US6678570B1 (en) * 2001-06-26 2004-01-13 Advanced Micro Devices, Inc. Method and apparatus for determining output characteristics using tool state data
US6607976B2 (en) * 2001-09-25 2003-08-19 Applied Materials, Inc. Copper interconnect barrier layer structure and formation method
US6605549B2 (en) * 2001-09-29 2003-08-12 Intel Corporation Method for improving nucleation and adhesion of CVD and ALD films deposited onto low-dielectric-constant dielectrics
US7006955B2 (en) * 2001-10-15 2006-02-28 General Electric Company System and method for statistical design of ultrasound probe and imaging system
US6708075B2 (en) * 2001-11-16 2004-03-16 Advanced Micro Devices Method and apparatus for utilizing integrated metrology data as feed-forward data
US6515368B1 (en) * 2001-12-07 2003-02-04 Advanced Micro Devices, Inc. Semiconductor device with copper-filled via includes a copper-zinc/alloy film for reduced electromigration of copper
US6630741B1 (en) * 2001-12-07 2003-10-07 Advanced Micro Devices, Inc. Method of reducing electromigration by ordering zinc-doping in an electroplated copper-zinc interconnect and a semiconductor device thereby formed
US6774998B1 (en) * 2001-12-27 2004-08-10 Advanced Micro Devices, Inc. Method and apparatus for identifying misregistration in a complimentary phase shift mask process
US6751518B1 (en) * 2002-04-29 2004-06-15 Advanced Micro Devices, Inc. Dynamic process state adjustment of a processing tool to reduce non-uniformity
US6528409B1 (en) * 2002-04-29 2003-03-04 Advanced Micro Devices, Inc. Interconnect structure formed in porous dielectric material with minimized degradation and electromigration
US6735492B2 (en) * 2002-07-19 2004-05-11 International Business Machines Corporation Feedback method utilizing lithographic exposure field dimensions to predict process tool overlay settings

Also Published As

Publication number Publication date
EP1417549A2 (en) 2004-05-12
US20030036815A1 (en) 2003-02-20
WO2003017013A2 (en) 2003-02-27
KR20040025744A (ko) 2004-03-25
TW578081B (en) 2004-03-01
WO2003017013A3 (en) 2003-05-08
AU2002356021A1 (en) 2003-03-03
US6984198B2 (en) 2006-01-10
CN1541348A (zh) 2004-10-27
JP2005520225A (ja) 2005-07-07

Similar Documents

Publication Publication Date Title
CN100511054C (zh) 实验管理系统与方法
US7386793B2 (en) Apparatus, method and program for supporting a review
JP2001256333A (ja) 作業割付システム、作業割付方法、分散型クライアントサーバシステム及びコンピュータプログラム記憶媒体
JP2001219341A (ja) 板金加工統合支援システム
US20050246244A1 (en) Parts management information system and parts management method, and storage medium
CN105956817A (zh) 一种采购供应商投标管理方法
JP2019040598A (ja) データベース検索システムおよび方法
CN112232757A (zh) 基于邮件的工单处理方法、装置、电子设备和介质
US8050785B2 (en) Apparatus and method for handling orders
US20020103620A1 (en) Apparatus management method, apparatus management system, and apparatus management program product
JP2001195462A (ja) 組立情報管理システムと組立情報管理のためのクライアントサーバ型分散システム
CN1979536A (zh) 工件标准作业程序文件管理系统及方法
JP2001325413A (ja) コネクター志向ワークフロー管理システム及びワークフロー検出方法
KR101354026B1 (ko) 태스크 기반의 기준정보 관리 시스템 및 방법
US11556515B2 (en) Artificially-intelligent, continuously-updating, centralized-database-identifier repository system
US11853198B2 (en) Program development assistance system and program development assistance method
CN108008700A (zh) 信息处理装置
US7146423B2 (en) Method for integrating multiple web servers based on individual client authorization
JP3695410B2 (ja) 生産技術の管理方法及びそのための装置
US20220092499A1 (en) Apparatus and method for converting data from a digital customer interface of a computer network system
US20050066264A1 (en) Manual preparation support method, program and storage medium
JPH09305660A (ja) ビジネスプロセス定義方法および装置
KR100453929B1 (ko) 정보기술 시스템의 설계문서 자동관리 시스템 및 방법
JP2024030830A (ja) 情報検索装置および情報検索方法
Kunakov Improving the Process of Bending Pipes with Digital Technology

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20090708

Termination date: 20130806