KR20040025744A - 실험 관리 시스템, 방법 및 매체 - Google Patents

실험 관리 시스템, 방법 및 매체 Download PDF

Info

Publication number
KR20040025744A
KR20040025744A KR10-2004-7001992A KR20047001992A KR20040025744A KR 20040025744 A KR20040025744 A KR 20040025744A KR 20047001992 A KR20047001992 A KR 20047001992A KR 20040025744 A KR20040025744 A KR 20040025744A
Authority
KR
South Korea
Prior art keywords
experiment
experimental
basic process
command
producing
Prior art date
Application number
KR10-2004-7001992A
Other languages
English (en)
Inventor
배드리 엔. 크리슈나머티
패리스 씨. 엠. 호킨스
Original Assignee
어플라이드 머티어리얼즈 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼즈 인코포레이티드 filed Critical 어플라이드 머티어리얼즈 인코포레이티드
Publication of KR20040025744A publication Critical patent/KR20040025744A/ko

Links

Classifications

    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM]
    • G05B19/41875Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM] characterised by quality surveillance of production
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06QINFORMATION AND COMMUNICATION TECHNOLOGY [ICT] SPECIALLY ADAPTED FOR ADMINISTRATIVE, COMMERCIAL, FINANCIAL, MANAGERIAL OR SUPERVISORY PURPOSES; SYSTEMS OR METHODS SPECIALLY ADAPTED FOR ADMINISTRATIVE, COMMERCIAL, FINANCIAL, MANAGERIAL OR SUPERVISORY PURPOSES, NOT OTHERWISE PROVIDED FOR
    • G06Q50/00Information and communication technology [ICT] specially adapted for implementation of business processes of specific business sectors, e.g. utilities or tourism
    • G06Q50/04Manufacturing
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B15/00Systems controlled by a computer
    • G05B15/02Systems controlled by a computer electric
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06QINFORMATION AND COMMUNICATION TECHNOLOGY [ICT] SPECIALLY ADAPTED FOR ADMINISTRATIVE, COMMERCIAL, FINANCIAL, MANAGERIAL OR SUPERVISORY PURPOSES; SYSTEMS OR METHODS SPECIALLY ADAPTED FOR ADMINISTRATIVE, COMMERCIAL, FINANCIAL, MANAGERIAL OR SUPERVISORY PURPOSES, NOT OTHERWISE PROVIDED FOR
    • G06Q10/00Administration; Management
    • G06Q10/06Resources, workflows, human or project management; Enterprise or organisation planning; Enterprise or organisation modelling
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45031Manufacturing semiconductor wafers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]

Landscapes

  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Business, Economics & Management (AREA)
  • Automation & Control Theory (AREA)
  • General Engineering & Computer Science (AREA)
  • Strategic Management (AREA)
  • Human Resources & Organizations (AREA)
  • Manufacturing & Machinery (AREA)
  • Quality & Reliability (AREA)
  • Economics (AREA)
  • Theoretical Computer Science (AREA)
  • Marketing (AREA)
  • Tourism & Hospitality (AREA)
  • Entrepreneurship & Innovation (AREA)
  • General Business, Economics & Management (AREA)
  • Game Theory and Decision Science (AREA)
  • Operations Research (AREA)
  • Health & Medical Sciences (AREA)
  • General Health & Medical Sciences (AREA)
  • Primary Health Care (AREA)
  • Educational Administration (AREA)
  • Development Economics (AREA)
  • Management, Administration, Business Operations System, And Electronic Commerce (AREA)
  • General Factory Administration (AREA)
  • Testing Of Individual Semiconductor Devices (AREA)

Abstract

자동화된 환경 내에서 이러한 환경에서의 시험 대상(예를 들면, 반도체 칩 또는 칩들)의 연관성을 없앨 필요가 없이 실험을 자동화하기 위한 시스템, 방법 및 매체를 제공한다. "실험"이라 함은 자동화된 환경을 이용하여 구축된(예를 들면, 미리 정해진) 공정 중 미리 계획된 편차를 뜻한다. 반도체 기술과 관련된 것 등의 실험을 관리하기 위한 컴퓨터 구현 방법, 시스템 및 컴퓨터 판독가능 매체가 제공된다. 실험 명령은 자동화된 환경에서 동작할 수 있는 기본 공정으로부터 약간의 편차를 갖는다. 실험 명령의 허락은 유저가 실험 명령에 문서를 첨부하거나 또는 아마도 상기 실험을 변경하도록 하면서, 유저의 분배 리스트로부터 획득된다. 상기 실험 명령은 상기 자동화된 환경에 의한 구현에 적합한 처리 데이터로 변환되서 저장된다. 상기 실험은 처리 데이터에 따라 자동하된 환경을 통해서 상기 기본 공정의 적어도 일부와 연관해서 실행되도록 한다.

Description

실험 관리 시스템, 방법 및 매체{EXPERIMENT MANAGEMENT SYSTEM, METHOD AND MEDIUM}
대부분의 산업에서는 기계와 재료 및 공정들이 보다 복잡화되고 고비용화되는 추세에 있다. 한편, 공정과 기계 및 재료 품질의 지속적인 향상에 대한 요구는 증대하고 있다.
반도체와 그 외의 제품들은 일반적으로 미리 정해진(pre-defined) 공정의 제어하에 제조된다. 이와 같이 미리 정해진 공정들은 매우 복잡할 수 있다. 예를 들면, 반도체 칩을 제조하기 위해 미리 정해진 제조 공정은 500 내지 750가지 스텝을 포함할 수 있다. 또한, 상기 스텝들은 각각 여러 개, 예를 들면 6개의 중요한 변수를 갖을 수 있다.
제조나 시험 이론의 향상을 위하여, 기본 제조 공정 중 일부를 변화시킴으로써 실험을 수행하는 것이 바람직한 경우가 종종 있다. 예를 들면, 엔지니어가 반도체 상의 층들 중 하나를 10퍼센트의 두께로 하고자 할 수 있다. 이 경우, 아마도 후속하는 스텝에서의 약간의 조정으로 인해 상기한 스텝의 레시피(recipe)를 수행하는데 추가로 15초 동안의 시간이 걸리게 된다. 일반적으로, 엔지니어는 원하는 시험을 적용하기 위한 변형을 포함한 새로운 기본 공정을 만들지 않는데, 그 이유는 여기에 너무 많은 시간이 소비되기 때문이다.
불행하게도, 예를 들면 종래의 기술을 사용하는 실험에서는 수동적인 조정과 그 결과에 대한 수동적인 추적을 필요로 한다. 따라서, 이러한 실험을 행하는 엔지니어와 오퍼레이터는 다수의 반도체 칩을 구해서, 이것을 자동화된 (예를 들면, 제품 또는 모형-제품 제조) 환경 이외의 환경에서 처리한다. 따라서, 실험이 행해지는 제품은 자동화된 환경으로부터 탈피해야 해서, 시간이 소비되고, 또한 외부 요인의 개입 가능성이 있어 최종적으로 (그리고, 의도치 않게) 실험 결과에 영향을 미칠 수 있다. 또한, 이와 같이 반도체 칩의 탈피시에는 변화와 실험 이력의 수동적인 추적을 조합하고, 실험을 제어해서, 전체적인 결과를 분석하는데 이려움이 있다.
따라서, 공장 세팅에 근무하는 연구 및 개발 엔지니어, 오퍼레이터 및 다른 유저들에게는, 기존 공정에 변화를 가한 실험이 유연하고, 용이하며 추적 가능하도록 할 필요가 있다.
본 발명은 실험 관리를 위한 컴퓨터 연동 방법, 시스템 및 매체에 관한 것이다. 보다 자세하게는, 예를 들어 반도체 기술에 의한 제조의 제어를 위한 공정 등의 공정에서의 변화와 관련된 실험을 관리하는 것에 관한 것이다.
도 1은 본 발명의 적어도 일부 실시예와 관련해서 사용될 수 있는 컴퓨터를 이용한 공정 제어 시스템의 블록도이다.
도 2는 본 발명의 적어도 일부 실시예에 따른 실험 관리를 위한 전체 공정의플로우차트이다.
도 3a 및 도 3b는 도 2의 전체 공정 중 명령 관리 공정 부분의 플로우차트이다.
도 4는 도 2의 전체 공정 중 셋업 공정 부분의 플로우차트이다.
도 5는 도 2의 전체 공정 중 실행 공정 부분의 플로우차트이다.
도 6은 도 2의 전체 공정 중 분석 공정 부분의 플로우차트이다.
도 7은 실험의 정의를 예시하는 도면이다.
도 8은 본 발명의 적어도 일부 실시예와 관련해서 사용되는 실험 에디터에 대한 유저 인터페이스의 일례를 나타내는 도면이다.
도 9는 본 발명과 관련해서 사용되는 첨부물을 예시하는 실험 에디터에 대한 유저 인터페이스의 일례를 나타내는 도면이다.
도 10은 본 발명의 적어도 일부 실시예와 관련해서 사용되는 실험 콘텐트를 예시하는 실험 에디터에 대한 유저 인터페이스의 일례를 나타내는 도면이다.
도 11은 본 발명의 적어도 일부 실시예와 관련해서 사용되는 웨이퍼 레벨 분리의 상세를 예시하는 실험 에디터에 대한 유저 인터페이스의 일례를 나타내는 도면이다.
도 12는 실험의 적어도 일부 실시예를 예시하는 도면이다.
본 발명의 목적은, 상술한 종래 기술의 문제점을 해결하기 위한 것으로서, 자동화된 (예를 들면, 제품 또는 모형-제품 제조) 환경 내에서 이러한 환경에서의 시험 대상(예를 들면, 반도체 칩 또는 칩들)을 분리시킬 필요가 없이 실험을 자동화하기 위한 시스템, 방법 및 매체를 제공하는데 있다. 본 발명의 적어도 일부 실시예에 따른 "실험"이라 함은 자동화된 환경을 이용하여 구축된(예를 들면, 미리 정해진) 공정 중 적어도 일부의 미리 계획된 편차를 뜻한다.
본 발명의 적어도 일부 실시예에 따르면, 실험은 실험 명령(즉, 실험 개시 요구)에 의해 시작되는데, 우선 비정규적(informal) 요구에 따라 개시되고, 컴퓨터를 이용한 시스템에 전달되고, 다양하게 정해진 유저를 통해 경로화되어, 아마도 변화가 생겨서, 최종적으로 허락(approve)된다. 요구된 실험의 실행을 용이하게 행하기 위하여, 실험 관리는 4개의 개념적으로 구별된 스테이지, 즉 명령 관리, 셋업, 실행 및 분석 스테이지를 포함한다. 본 발명의 명령 관리 성분은 실험 명령(상술한 바와 같음)의 형식화를 자동항법으로 행하고 상기 실험을 추적하는데 도움을 준다. 셋업 스테이지는 일반적으로 보편화된 명령문(statement), 필수요소 또는 제공된 결과로부터 자동화된 환경에서 실행할 준비가 된 특정한 공정을 정하는 데이터로의 수동적 또는 자동적인 실험 변환을 관리한다. 실행 스테이지는 실험 결과의 수집을 포함한 처리 데이터에 기초하여 자동화된 환경을 통해서 실험 자체를 실행하는 것을 포함한다. 분석 스테이지에서, 상기 실험 결과는 보고되고 분석된다.
본 발명의 적어도 일부 실시예에 따르면, 동작시에 실험 명령이 수신되는데, 상기 실험 명령은 자동화된 환경에서 동작할 수 있는 기본 공정으로부터의 적어도 일부 편차를 포함한다. 이 후, 실험 명령의 허락을 받는다. 실험 명령의 적어도 일부는 상기 자동화된 환경에 의한 구현에 적합한 처리 데이터로 변환되어 저장된다. 실험은 처리 데이터에 따라 자동화된 환경을 통해서 상기 기본 공정의 적어도 일부와 연관해서 실행되도록 한다.
또한, 본 발명은 실험 명령을 정하는 데이터를 저장하는 단계와, 상기 실험 명령을 복수의 유저에게 분배하는 단계와, 상기 유저들 중 적어도 한 명으로부터 실험 명령의 변화를 획득하는 단계, 및 적어도 한 명의 유저로부터 실험 명령에 대한 허락을 받는 단계를 포함한다. 또한, 문서는 상기 실험 요구에 첨부될 수 있다.
또한, 실험 요구의 상태 변화를 표시하는 정보는 실험 요구에 첨부된 문서 및 실험 명령의 상태 변화에 응답하여 공개될 수 있다.
또한, 실험으로 적어도 하나의 시험 제품 및 적어도 하나의 생산품을 생산할 수 있고(즉, 예를 들어 시험 제품 전이나 후에 처리되고, 기본 공정에 따라 처리되었던 제품에 제어가 이루어질 수 있음), 처리 데이터는 기본 공정의 표시, 기본 공정의 변화 및 제어 세트의 분리를 포함할 수 있고(즉, 제품이 상기한 실험을 받음), 제어 세트의 분리는 기본 공정에 따라 적어도 하나의 생산품을 생산할 수 있고, 상기 기본 공정의 변화로 적어도 하나의 테스트 제품을 생산할 수 있다. 상기한 실험의 실행 결과는 저장될 수 있다.
이하의 상세한 설명은 많은 특정한 상세 예를 포함하고 있다. 이와 같이 상세예를 드는 것은 예시만을 위한 것이지, 본 발명을 한정하려는 의도가 아니다.그 설명 전반에 걸쳐서, 유사한 구성요소들은 참조의 용이화를 위해 각종 도면에 동일한 부호를 붙여서 언급하기로 한다.
상술한 "요약"란에서 언급한 바와 같이, 본 발명의 적어도 일부 실시예에 따른 "실험"이라 함은 자동화된 환경을 이용하는 기본 공정 중 적어도 일부의 미리 계획된 편차를 뜻한다. 일반적으로, 실험은 자동화된 공정의 결과로서 생산되는 반도체 칩 등의 재료에 대해서 행해진다. 또한, 상술한 바와 같이, 본 발명의 적어도 일부 실시예에서는 실험 관리가 4개의 개념적으로 구별된 스테이지, 즉 명령 관리, 셋업, 실행 및 분석 스테이지를 포함하는 것으로 한다. 상기 스테이지들은 개념적으로는 구별되어 있지만, 이들은 일시적으로 중복될 수도 있다.
본 발명의 적어도 일부 실시예에 따르면, 보고서, 메모, 형식(form), 파일 및 기타 문서가 명령 관리 및 셋업 스테이지 전반에 걸쳐서 특정한 실험과 연관될 수 있다. 이것은 실험에 대한 액세스가 허용된 유저에 의해 검사될 수 있다. 이것에 의해서 유저와 검사자가 실험에 대해서 코멘트하고, 배경 정보를 제공하고, 적절한 형식을 제공하고, 관련 정보 등을 유저 친숙형(user-friendly)의 매우 유연성 있는 형태로 첨부한다. 이러한 유연성에 의해서 유저가 입력 제공을 요청할 수 있게 되고, 그 결과 보다 고품질의 실험을 하게 된다.
이하, 일반적으로 본 발명의 적어도 일부 실시예와 관련해서 사용되는 컴퓨터를 이용한 공정 제어 시스템을 예시하는 블록도인 도 1을 참조하여 설명한다. 예시한 바와 같이, 실험 명령(101)은 일반적으로 제어기(103)로 불리우는 컴퓨터를 이용한 시스템에 입력된다. 실험 명령(101)은 소망하는 실험의 기술(description)을, 예를 들면 텍스트로 해서 포함한다. 실험 명령(101)은 예를 들면 텍스트를 포함한 워드 프로세싱 문서일 수 있다. 다른 예로서, 메뉴로부터 입력될 수도 있다. 실험 명령(101)에 기술된 실험은 제품 생산을 위해 자동화된 기존의 공정과의 편차이지만, 반드시 상기 명령에 특정한 공정으로부터의 편차로서 기술될 필요는 없다.
제어기(103)는 반도체 칩의 제조 공정 등의 여러 공정들이 저장되어 있는 공정 데이터베이스(111)에 액세스한다. 제어기(103)는 범용 컴퓨터 또는 특별하게 프로그램된 특수 목적의 컴퓨터 또는 자동화된 시스템 또는 분배 시스템일 수 있다. (일반적으로는, 본 명세서에서 사용되는 상기한 컴퓨터 또는 사용자는 상세한 설명의 내용으로부터 명백하게 이해될 수 있고, 캘리포니아, 산타클라라 소재의 인텔사 제의 프로세서를 구비한 컴퓨터를 포함한 소정 수의 다른 형태의 컴퓨터일 수 있으며, 여기서 상기 컴퓨터는 컴퓨터 판독가능 매체로서 기능하는 소정 수의 다른 타입의 저장 장치를 포함할 수 있으며, 또한 본 발명의 적어도 일부 실시예에서는 컴퓨터 판독가능 매체를 전송 매체로 한다). 저장되어 있는 공정 데이터베이스(111)는 제조 공정에서의 다수의 자동화된 스텝들을 포함한다. 이러한 스텝들에서의 콘텐츠의 실질적인 포맷은 시스템 및 시스템 내의 장치에 의해서 정해진다. 상기 공정 내 스텝의 일부는 레시피 데이터베이스(113)에 저장되어 있는 레시피들을 사용한다. 레시피는 여러 가지 공정에서 공유될 수 있다. 제어기(103)는 생산 시스템(105) 등의 자동화된 환경의 처리를 제어하여, 최종적으로 생산품(107)을 생산하거나, 또는 후속 실험을 통해 시험 제품(109)을 생산한다. 이것에 의해서, 본 발명은 유저가 실험 요구를 요청해서, 기본 공정의 편차를 생성하고, 실험 요청의 상태를 추적하도록 한다.
본 발명의 적어도 일부 실시예에 따른 실험 관리를 위한 전체 공정의 플로우차트에 대해서 도 2를 참조하여 설명한다. 4개의 개념적 스테이지들(상술한 바와 같음)은 명령 관리(201), 제조 실행 시스템(Manufacturing Execution System: MES) 셋업(203), 실행(205) 및 분석(207)을 포함한다.
이하에 추가로 정의되는 명령 관리 스테이지(201)에서는, 실험 명령이 정의된다. 일반적으로, 실험은 실험 명령에서 요구 세트로서 정의되고, 기존 공정으로부터의 편차로서 특정될 수 있다. 실험 명령은 다음 스테이지로의 허락을 받기 전에, 여러 사람들에 의해 라우팅, 검사 및 변화를 받게 된다.
MES 셋업 스테이지(203)에서, 실험 명령은 실험 셋업, 즉 생산 시스템에서의 구성요소에 의해 실행될 수 있는 특수 처리 데이터로 변환(translate)된다. 상기 처리 데이터는 생산 시스템의 구성요소가 요구하는 포맷으로 되어 있다. 통상적인 상황에서, 상기 실험의 실행을 위한 데이터는 기본 공정의 (및/또는 기존 공정을 대체한) 스텝들 사이에 삽입된다.
실행 스테이지(205)에서, 재료의 실행은 실험 셋업에 기초하여 행해진다. 상기 스테이지의 대부분 또는 전부는 생산 시스템 구성요소에 의해 자동적으로 행해진다. 상기 실행 스테이지(203)에서 구현되는 셋업에서의 각 스텝의 결과는 기록이 된다.
분석 스테이지(207)에서는, 상기 실험 결과가 보고되고 분석된다. 이것은 컴퓨터에 의해 자동적으로 행해지거나, 및/또는 유저에 의한 분석을 포함할 수 있다.
본 발명의 적어도 일부 실시예에서 계획한 바와 같이, 도 2의 전체 공정의 명령 관리 스테이지(201)의 일례의 플로우차트에 대해서 도 3a 및 도 3b를 참조하여 설명한다. 본 스테이지에서는 상기 실험이 요구를 받고, 이어서 실험 요구 검사 및 사인-오프(sign-off)가 행해지도록 한다. 스텝 301에서, 상기 실험은 처음에 요구자에 의해 정의된다. 실험의 용이화를 위하여, 상기한 요구는 소정의 적정한 형태로 요청될 수 있도록 하였다. 한 가지 적정한 형태로는 전자 문서의 문자 기술(description)이다. 이러한 실험은 비정규적으로 기술될 수 있음을 유념한다. 실험 개시 요구가 반드시 상기 실험을 기존의 공정과의 편차로서 정의할 필요는 없다.
스텝 303에서는, 실험 대상(또는, 실험 데이터용의 다른 저장 장치)이 생성된다. 초기 정보는 요구자와 실험의 동일성 확인을 위해 수집된다. 이 정보는 예를 들면 실험 대상에 저장된다. 이후, 실험 요구는 분배 리스트에서 식별된 적절한 유저에게 분배된다.
스텝 305에서, 실험 요구(예를 들면, 검사를 위한 실험 요구)를 수신받은 유저는 외부 파일, 메모, 형식 또는 기타 문서를 실험 요구에 첨부할 수 있다. 문서를 실험 요구와 연계시키는 능력은 실험 요구와 관련된 유저 상호작용을 용이하게 하기 위해 사용될 수 있다. 이후, 상기 문서는 다른 유저에 의해 검사될 수 있다.
스텝 307에서, 유저(또는, 자동화된 엔티티(entity))는 특정한 기본 공정에 이루어지는 변화를 판단한다. 유저(또는, 자동화된 엔티티)는 또한 변화되는 기본공정을 판단할 수 있다. 또한, 스텝 309에서, 유저(또는, 자동화된 엔티티)는 제어 세트로부터 로트(lot)를 분리하는 시기, 및 행해지게 될 로트-특유의 트랜잭션을 판단한다. 스텝 311에서, 유저(또는, 자동화된 엔티티)는 필요하다면 어떤 레시피가 변화하는 가를 판단한다. 기본 공정에 이루어지게 되는 특정한 변화를 판단하게 되면, 시스템은 상기 변화를 처리 데이터로서 수신해서 저장한다. 스텝 313에서, 실험은 유저에 의해 트윅(tweak)됨에 따라 도 3b에 설명된 사인-오프로 보내진다. 스텝 315에서, 실험이 유저에 의해 허락되면, 처리가 종료되고(스텝 317), 상기 실험은 다음의 개념 스테이지로 진행한다. 그렇지 않으면, 처리는 다른 처리를 위해 스텝 305로 되돌아간다.
도 3b는 사인-오프 처리의 일 실시예를 예시한다. 스텝 321에서, 실험 요구(예를 들면, 검사를 위한 실험 요구)를 수신한 유저는 외부 파일, 메모, 형식 또는 기타 문서를 실험 요구에 첨부하고, 다른 유저에 의해서 검사받도록 할 수 있다. 스텝 323에서, 문서가 실험 요구에 첨부 또는 삭제되거나, 또는 스텝 325에서, 실험 요구에 대한 상태 변화가 있다면, 상기 정보는 공개된다(스텝 327). 공개를 위한 한 가지 적절한 방법으로는 상기 정보를 이메일을 통해서 리스트화 된 유저에게 전송하는 것이 있다. 상태 변화는, 예를 들면 실험(또는, 그 일부분)에서의 "사인-오프"를 포함한다. 스텝 329에서, 최종적인 허락(또는, 긍정적인 허락 없음)의 표시가 수신되지 않으면, 스텝 321에서 상기 처리를 반복한다. 최종적인 허락이 수신되면, 스테이지는 종료된다(스텝 331).
도 2의 전체 공정 중 셋업 스테이지(203) 부분의 플로우차트에 대해서 도 4를 참조하여 설명한다. 셋업 스테이지 동안에 유저는 특정한 실험을 셋업할 수 있다. 예를 들면, 유저는 실험-특유의 데이터, 예를 들면 레티클(reticle) 또는 레시피의 상세를 셋업할 수 있다. 스텝 401에서, 유저(또는, 자동화된 엔티티)는 실험 명령을 검색하고 검사한다. 상술한 바와 같이, 실험 명령은 실험의 비정규적 기술일 수 있다. 유저가 요구된 실험을 행하기 위하여 어떠한 처리가 구현되어야 하는 가를 판단하거나, 또는 처리가 예를 들어 실험의 기술을 분석하고, 요구된 것을 표시하는 소정 키 워드 또는 구절(phrase)를 식별함으로써 자동화될 수 있다. 이것은 본 발명의 적어도 일부 실시예에서, 예를 들면 다양한 엑스퍼트 시스템 기술을 사용하여 행할 수 있는 것으로 한다. 본 발명의 적어도 일부 실시예에서는 또한 자동화와 유저 참여의 일부 조합을 가정한다.
도 4를 다시 참조하면, 스텝 403에서, 유저(또는, 자동화된 엔티티)는 특정한 기본 공정에서 이루어지게 될 변화를 판단한다. 유저(또는, 자동화된 엔티티)는 또한 수정되는 기본 공정을 판정할 수 있다. 또한, 스텝 405에서, 유저(또는, 자동화된 엔티티)는 제어 세트로부터 로트를 분리하는 시기, 및 행해지게 될 로트-특유의 트랜잭션을 판단한다. 스텝 407에서, 유저(또는, 자동화된 엔티티)는 필요하다면 어떤 레시피가 변화하는 지를 판단한다. 기본 공정에 이루어지게 되는 특정한 변화를 판단하게 되면, 시스템은 상기 변화를 처리 데이터로서 수신해서 저장한다.
도 2의 전체 공정 중 실행 스테이지(205)의 플로우차트에 대해서 도 5를 참조하여 설명한다. 이러한 관점에서, 상기 실험은 자동화된 환경에 입력될 수 있는처리 데이터로 정해진다. 이 후, 실험은 자동화된 환경에는 명백한 방식으로 처리될 수 있다. 스텝 501에서, 자동화된 환경은 수정된 공정에 대한 처리 데이터를 수신한다. 스텝 503에서, 자동화된 환경은 처리 데이터의 스텝을 실행한다. 소정의 저장될 시험 결과가 있다면, 스텝 505 내지 507에서, 시스템은 상기 시험 결과를 저장한다. 스텝 509에서, 처리가 완료되지 않으면, 자동화된 환경은 스텝 503로 되돌아가서 상기 처리를 계속한다. 처리가 완료되면, 상기 스테이지는 스텝 511에서 종료한다.
도 2의 전체 공정의 분석 스테이지(207)의 플로우차트에 대해서 도 6을 참조하여 설명한다. 실험 이력(history) 셋업 정보 및 이력 데이터는 분석 및 보고시 사용하기 위해 이용될 수 있다. 실험 결과는 스텝 601에서 수집된다. 스텝 603에서, 상기 실험 결과는 소정의 분석에 이용될 수 있도록 이루어진다. 예를 들면, 유저가 상기 결과를 수동으로 분석하기를 원할 수 있다. 스텝 605에서, 자동화된 환경은 소정의 요구된 컴퓨터를 이용한 분석을 수행한다. 상기 실험에 소정의 계획된 변화가 있다면, 스텝 607 내지 609에서, 유저는 다른 실험 요구를 발생할 수 있다. 이 분석은 스텝 611에서 완료된다.
본 발명의 적어도 일부 실시예에서 계획한 바와 같이, 실험의 정의를 예시하는 도면인 도 7을 참조하여 설명이 이루어진다. 구체적으로, 실험(701)은 우선 예를 들어 유저에 의해 정의된 속성(attribure) 정보(703), 및 상기 실험이 어떻게 동작하는 가를 정의하는 동작 정보(705)를 포함하여 저장된 데이터와 연관된다. 실험은 우선적으로 스크라치(scratch)로부터 생성되거나, 또는 템플릿(template)으로 사용되는 다른 실험으로부터 카피될 수 있다. 일반적인 속성은 실험 식별자, 실험 대상, 요구자명, 실험명, 요구자 이메일 어드레스 등의 실험과 관련된 유용한 정보를 식별하기에 충분한 정보를 포함할 수 있다.
실험이 초기에 정의되면, 개시 상태는 "변화 직전(underchange)"(실험이 변화될 것임을 표시함)으로 되고, 일단 실험이 허락되면, 종료 스테이지가 유효하게 된다(분배됨)(스텝 711). 변화 직전 상태 후면서 유효 상태 전인 유저의 제어하에 있는 일련의 유저-정의 상태(709)가 있을 수 있다. 유효 상태는 실험이 허락되고 사인오프가 얻어진 후에 진입한다. 바람직하게, 유저는 적절한 허락없이 실험 내용을 변화시킬 수 없다. 첨부된 외부 문서 및/또는 파일과 유저-정의 상태 모델과 함께 다른 유저-정의 속성이 있을 수 있다. 한 가지 가능한 구현에 따르면, 실험은 대상체로서 구현된다. 상기 상태 테이블은 명령 관리 처리부에 대응한다.
도 8 내지 도 11은 본 발명의 적어도 일부 실시예와 관련해서 사용되는 잠재적 유저 인터페이스의 일례이다. 우선, 실험 에디터용 유저 인터페이스의 일례의 일 양태에 대해서 도 8을 참조하여 설명한다. 여기서, 유저는 실험(811), 실험 속성(813) 및 임의적인 실험 카테고리(815)에 관한 정보를 제공할 수 있다. 실험 정보로는 실험의 설명을 요약할 수 있는 목적란(801)을 들 수 있다. 다른 실험 정보로는 요구자 식별 정보(803)(예를 들면, 성명, 이메일 어드레스), 실험을 위한 기본 공정 또는 상태 모델(805) 및 실험 요구가 만료된 후의 임의적인 유효 일자란(807)을 들 수 있다. 상기 초기 인터페이스에서 수집된 정보는 실험 요구와 연관된다.
본 발명의 적어도 일부 실시예와 관련해서 사용되는 첨부물을 예시하는 실험 에디터용 유저 인터페이스의 일례의 다른 양태에 대해서 도 9를 참조하여 설명한다. 상기 실시예에서, 파일, 메모, 형식, 웹 어드레스 등의 문서가 제한없이 첨부되거나, 또는 그렇지 않다면 실험 요구와 연관될 수 있다. 도 9는 실험 요구에 첨부된 파일명(909), 로컬 문서 실험.doc(901), 다른 문서 C:\Experiment\Experiment.doc에 대한 파일 경로(903), 웹 사이트 www.consolium.com(905) 및 http 문서인 http://www.consilium.com/corp_event.html?phase=ge(907) 등의 여러 문서를 일례로서 나열하였다. 본 예의 유저 인터페이스는 또한 파일이 단순히 참조(911)인가의 여부를 표시한다.
본 발명의 적어도 일부 실시예와 관련해서 사용되는 실험 내용을 예시하는 실험 에디터용 유저 인터페이스의 일례의 다른 양태에 대해서 도 10을 참조하여 설명한다. 본 예의 유저 인터페이스는 실험 내용란(1001), 물리적 분리 상세란(1003) 및 병합(merge) 상세란(1005)에 액세스하도록 하여, 분리 후 병합될 때, 표준 및 시험 재료를 다르게 처리하는 분리와 어떤 표준과 시험 재료인가를 상세히 설명하는 병합이 처리된다. 실험 내용란(1001)은 실험 공정을 제어하는 파일을 제공한다. 여기서는, 실험 공정란(1007), 실험 경로란(1009) 및 실험 동작란(1011)으로 칭한다. 처리가 선-분리인가 또는 후-분리(1013)인가의 여부 등의 실험에 관한 부가적인 정보가 제공된다.
본 발명과 관련해서 사용되는 웨이퍼 레벨 분리 상세를 예시하는 실험 에디터용 유저 인터페이스의 일례에 대해서 도 11을 참조하여 설명한다. 여기서, 처리 데이터는 로트 레벨, 슬롯 레벨 또는 단위 레벨(1101)에서의 특성을 제공한다. 본 예는 슬롯 레벨의 분리에 관한 것이다. 예시한 바와 같이, 분리 상세란(1103)은 각 분리와 연관된 공정 계획란(1105)과 함께 분리될 슬롯 및 그 양을 제공한다.
본 발명에 의해 계획된 실험의 적어도 일부 실시예를 도 12를 참조하여 설명한다. 각 실험 명령(1201)은 파일(1203), 형식(1205), 메모(1207) 및 실험 결과(1209) 등의 각종 문서와 연계되어 있다. 유저는 상기 문서를 실험 명령에 추가하거나 실험 명령으로부터 삭제할 수 있다. 바람직하게, 문서의 첨부는 이벤트로 할 수 있고, 예를 들면 이메일 또는 작업 흐름에 의해서 이벤트의 공개가 이루어질 수 있다.
실험 명령은 첨부된 문서, 속성 및 다른 관련 정보와 함께 유저에 의해 카피될 수 있다.
또한, 본 발명의 적어도 일부 실시예에 따르면, 실험 명령에 대한 변화가 이력에 저장된다. 저장된 변화는 본래의 속성, 외부 문서 추가/삭제 및 관련된 다른 대상의 변화를 포함한다.
도 3 내지 도 6을 참조하여 실험예를 살펴본다. 본 예에서, 유저는 클립의 특정 층을 10% 두껍게 하기를 원한다. 본 예에서의 실험은 엔지니어의 아이디어이다. 스텝 301 내지 303에서, 유저에 의해 실험 요구가 정해져서 시스템에 제공된다. 이것은 간단한 문자 기술의 매우 보편적인 요구일 수 있다. 실험 요구에 대한 실험 대상이 생성되고, 실험 요구는 스텝 305 내지 313에서 허락을 위해 적절한유저에게 경로화된다. 이러한 허락은 허락으로의 마킹에 대한 이메일 알림을 통한 전달 등으로 자동화될 수 있다. 스텝 321 내지 329에 나타낸 바와 같이, 실험에 대한 사인-오프가 수신될 때까지, 유저는 관련 파일, 메모 등을 실험 대상에 첨부 및/또는 삭제할 수 있다. 첨부 또는 삭제가 있거나, 또는 실험이 상태 변화한 경우, 이벤트는 스텝 323 내지 327에 나타낸 유저에게 공개된다. 이러한 검사 공정은 사인-오프가 수신될 때가지 계속된다.
일단 사인-오프가 수신되면, 실험 명령은 도 4에 나타낸 바와 같은 처리 데이터로 검사되고 이동된다. 이러한 검사 및 이동은 적절한 경험을 가진 사람에 의해 행해지는 수동적인 공정일 수 있다. 또한, 자동화된 수단에 의해 (전체적으로 또는 부분적으로) 수행될 수도 있다. 일부 이벤트의 경우, 스텝 405에서 예를 들면 로트 내의 웨이퍼(1-11)가 제어이고(즉, 구축된 스텝이 실행되지 않음), 로트 내의 나머지 웨이퍼들은 시험 제품인 것으로 판단될 수 있다. 또한, 표준 기본 공정의 500번째 사이클에서의 특정한 파라미터가 100에서 200으로 변화되어야 하는 것으로 판단될 수 있다. 상기 제어가 스텝 405에서 다른 공정으로부터 분리되는 것으로 지정될 수 있다. 필요하다면, 스텝 407에서 새로운 레시피가 생성되거나, 또는 기존의 레시피가 변경될 수 있다. 모든 웨이퍼는 자동화된 제어하에 있게 된다. 2개의 로트는 재결합되어 유지되거나, 또는 분석을 위해 전달되게 된다. 기본 공정, 특수한 실행 트랜잭션 및 소정의 레시피 변화로부터의 변화와 관련된 정보는 처리 데이터로서 저장된다. 상기 실험이 처리 결과의 일부로서 수집될 추가의 또는 다른 정보에 대해서 요구할 수 있음을 유념한다.
이 후, 실험은 도 5에 나타낸 바와 같이 진행된다. 이러한 관점에서, 실험 처리 데이터는 정규 제어 작업과 상이하지 않게 처리된다. 즉, 어떠한 처리도 배제되지 않는다. 처리 데이터는 스텝 501에서 제조 시스템에 입력되고, 시험은 스텝 503에서 처리 데이터를 자동적으로 실행함으로써 진행된다. 실험의 실행 중 발생되는 시험 결과는 스텝 505 내지 507에서 저장된다.
상기 실험에 이어서, 시험 제품은 허용 가능한 범위 내에 있다면 시험 재료에서 표준 생산 재료로 재분류되어, 고객에게 출하된다. 또는, 비표준 처리된 재료가 도 6에 나타낸 바와 같이 스크랩되거나 또는 추가의 분석을 위해 보존될 수 있다.
본 발명이 상술한 특정한 실시예들과 관련해서 설명되었지만, 많은 다른 실시예와 변형 및 응용이 이루어질 수 있음이 당업자에게는 자명하다. 따라서, 상술한 바와 같은 본 발명의 바람직한 실시예들은 예시적인 것이지 한정하려는 의도가 아니다. 이하의 청구범위에서 정의하는 바와 같이 본 발명의 사상 및 범주를 일탈하지 않는 범위 내에서 다양한 변화가 이루어질 수 있다.
예를 들면, 스크래치로부터 전체 실험을 정의하는 것이 가능하다. 통상적인 반도체 제조 공정은 500 내지 750 스텝인데, 그래서 실험을 기존 공정으로부터의 변화로서 정의하는 것이 보다 효과적인 경우가 있을 수 있다.
다른 예로서, 제어기는 범용 컴퓨터, 특별하게 프로그램된 특수 목적의 컴퓨터일 수 있고, 단일 컴퓨터보다는 분산형 컴퓨터 시스템으로서 실행될 수도 있다.

Claims (27)

  1. 자동화된 처리 기술과 관련된 실험을 관리하기 위한 컴퓨터 구현(computer-implemented) 방법에 있어서,
    (A) 자동화된 환경에서 동작할 수 있는 기본 공정으로부터의 적어도 일부 편차(deviation)를 포함하는 실험 명령(order)을 수신하는 단계;
    (B) 상기 실험 명령의 허락(approval)을 획득하는 단계; 및
    (C) 상기 실험 명령의 적어도 일부를 상기 자동화된 환경에 의한 구현에 적합한 처리 데이터로 변환해서 저장하는 단계; 및
    (D) 상기 실험이 상기 처리 데이터에 따라 자동화된 환경에 의해 상기 기본 공정의 적어도 일부와 연계해서 실행되도록 하는 단계
    를 포함하는 컴퓨터 구현 방법.
  2. 제 1 항에 있어서,
    상기 획득 단계는 상기 실험 명령을 정의하는 데이터를 저장하는 단계, 상기 실험 명령을 복수의 유저들에게 분배하는 단계, 상기 유저들 중 적어도 한 명으로부터 상기 실험 명령의 변화를 획득하는 단계, 및 적어도 한 명의 유저로부터 상기 실험 명령에 대한 허락을 수신하는 단계를 더 포함하는 컴퓨터 구현 방법.
  3. 제 1 항에 있어서,
    상기 실험 요구에 문서들을 첨부하는 단계를 더 포함하는 컴퓨터 구현 방법.
  4. 제 1 항에 있어서,
    상기 실험 요구에 첨부된 문서나 또는 상기 실험 명령의 상태 변화에 응답하여 상기 실험 요구의 상태 변화를 표시하는 정보를 공개하는 단계를 더 포함하는 컴퓨터 구현 방법.
  5. 제 1 항에 있어서,
    상기 변환 단계는 상기 처리 데이터를 수신하는 단계를 포함하는 컴퓨터 구현 방법.
  6. 제 5 항에 있어서,
    상기 실험에 의해 적어도 하나의 시험 제품 및 적어도 하나의 생산 제품을 생산하고,
    상기 처리 데이터는 상기 기본 공정의 표시, 상기 기본 공정의 변화 및 제어 세트의 분리(split-off)를 포함하고,
    상기 제어 세트의 분리에 의해 상기 기본 공정에 따라 상기 적어도 하나의 생산 제품을 생산하고, 상기 기본 공정의 변화에 의해 적어도 하나의 시험 제품을 생산하는 컴퓨터 구현 방법.
  7. 제 1 항에 있어서,
    상기 실험의 실행 결과를 수신하고 저장하는 단계를 더 포함하는 컴퓨터 구현 방법.
  8. 제 1 항에 있어서,
    상기 자동화된 환경에 의해 반도체 기술을 생산하는 컴퓨터 구현 방법.
  9. 자동화된 처리 기술과 관련된 실험을 관리하기 위한 컴퓨터 구현 시스템에 있어서,
    (A) 자동화된 환경에서 동작할 수 있는 기본 공정으로부터의 적어도 일부 편차를 포함하는 실험 명령;
    (B) 상기 실험 명령의 수신에 응답하여 획득되는 상기 실험 명령의 허락; 및
    (C) 상기 실험 명령의 적어도 일부로부터 변환되는 상기 자동화된 환경에 의한 구현에 적합한 처리 데이터를 포함하고,
    (D) 상기 자동화된 환경은 상기 실험이 상기 처리 데이터에 따라 자동화된 환경에 의해 상기 기본 공정의 적어도 일부와 연계해서 실행되도록 하는 컴퓨터 구현 시스템.
  10. 제 9 항에 있어서,
    상기 허락은 상기 실험 명령을 정의하는 저장된 데이터, 상기 실험 명령의복수의 유저들로의 분배, 상기 유저들 중 적어도 한 명으로부터 상기 실험 명령의 저장된 변화, 및 적어도 한 명의 유저로부터 상기 실험 명령에 대한 수신된 허락을 더 포함하는 컴퓨터 구현 시스템.
  11. 제 9 항에 있어서,
    상기 실험 요구에 첨부된 적어도 하나의 문서를 더 포함하는 컴퓨터 구현 시스템.
  12. 제 9 항에 있어서,
    상기 실험 요구에 첨부된 문서나 또는 상기 실험 명령의 상태 변화에 응답하여 공개된 상기 실험 요구의 상태 변화를 표시하는 정보를 더 포함하는 컴퓨터 구현 시스템.
  13. 제 9 항에 있어서,
    상기 처리 데이터는 유저로부터 수신되는 컴퓨터 구현 시스템.
  14. 제 13 항에 있어서,
    상기 실험에 의해 적어도 하나의 시험 제품 및 적어도 하나의 생산 제품을 생산하고,
    상기 처리 데이터는 상기 기본 공정의 표시, 상기 기본 공정의 변화 및 제어세트의 분리를 포함하고,
    상기 제어 세트의 분리에 의해 상기 기본 공정에 따라 상기 적어도 하나의 생산 제품을 생산하고, 상기 기본 공정의 변화에 의해 적어도 하나의 시험 제품을 생산하는 컴퓨터 구현 시스템.
  15. 제 9 항에 있어서,
    상기 실험의 실행 결과를 수신하고 저장하는 컴퓨터 구현 시스템.
  16. 제 9 항에 있어서,
    상기 자동화된 환경에 의해 반도체 기술을 생산하는 컴퓨터 구현 시스템.
  17. 컴퓨터에 의해 실행되는, 자동화된 처리 기술과 관련된 실험을 관리하기 위한 컴퓨터 구현 방법을 포함하는 지시(instruction)를 포함하는 컴퓨터 판독가능 매체에 있어서, 상기 구현을 위한 지시는,
    (A) 자동화된 환경에서 동작할 수 있는 기본 공정으로부터의 적어도 일부 편차를 포함하는 실험 명령을 수신하는 단계;
    (B) 상기 실험 명령의 허락을 획득하는 단계;
    (C) 상기 실험 명령의 적어도 일부를 상기 자동화된 환경에 의한 구현에 적합한 처리 데이터로 변환해서 저장하는 단계; 및
    (D) 상기 실험이 상기 처리 데이터에 따라 자동화된 환경에 의해 상기 기본공정의 적어도 일부와 연계해서 실행되도록 하는 단계
    를 포함하는 컴퓨터 판독가능 매체.
  18. 제 17 항에 있어서,
    상기 획득 단계는 상기 실험 명령을 정의하는 데이터를 저장하는 단계, 상기 실험 명령을 복수의 유저들에게 분배하는 단계, 상기 유저들 중 적어도 한 명으로부터 상기 실험 명령의 변화를 획득하는 단계, 및 적어도 한 명의 유저로부터 상기 실험 명령에 대한 허락을 수신하는 단계를 더 포함하는 컴퓨터 판독가능 매체.
  19. 제 17 항에 있어서,
    컴퓨터 프로그램이 상기 실험 요구에 문서들을 첨부하는 단계를 더 포함하는 컴퓨터 판독가능 매체.
  20. 제 17 항에 있어서,
    컴퓨터 프로그램이 상기 실험 요구에 첨부된 문서 또는 상기 실험 명령의 상태 변화에 응답하여 상기 실험 요구의 상태 변화를 표시하는 정보를 공개하는 단계를 더 포함하는 컴퓨터 판독가능 매체.
  21. 제 17 항에 있어서,
    상기 변환 단계는 상기 처리 데이터를 수신하는 단계를 더 포함하는 컴퓨터판독가능 매체.
  22. 제 21 항에 있어서,
    상기 실험에 의해 적어도 하나의 시험 제품 및 적어도 하나의 생산 제품을 생산하고,
    상기 처리 데이터는 상기 기본 공정의 표시, 상기 기본 공정의 변화 및 제어 세트의 분리를 포함하고,
    상기 제어 세트의 분리에 의해 상기 기본 공정에 따라 상기 적어도 하나의 생산 제품을 생산하고, 상기 기본 공정의 변화에 의해 적어도 하나의 시험 제품을 생산하는 컴퓨터 판독가능 매체.
  23. 제 17 항에 있어서,
    컴퓨터 프로그램이 상기 실험의 실행 결과를 수신하고 저장하는 단계를 더 포함하는 컴퓨터 판독가능 매체.
  24. 제 17 항에 있어서,
    상기 자동화된 환경에 의해 반도체 기술을 생산하는 컴퓨터 판독가능 매체.
  25. 반도체 기술과 관련된 실험을 관리하기 위한 컴퓨터 구현 방법에 있어서,
    (A) 자동화된 환경에서 동작할 수 있는 기본 공정으로부터의 적어도 일부 편차를 포함하는 실험 명령을 수신하는 단계;
    (B) 상기 실험 명령의 허락을 획득하는 단계;
    (C) 상기 실험 명령의 적어도 일부를 상기 자동화된 환경에 의한 구현에 적합한 처리 데이터로 변환해서 저장하는 단계; 및
    (D) 상기 실험이 상기 처리 데이터에 따라 자동화된 환경에 의한 상기 기본 공정의 적어도 일부와 연계해서 실행되도록 하는 단계;
    를 포함하고,
    (E) 상기 획득 단계는 상기 실험 명령을 정의하는 데이터를 저장하는 단계, 상기 실험 명령을 복수의 유저들에게 분배하는 단계, 상기 유저들 중 적어도 한 명으로부터 상기 실험 명령의 변화를 획득하는 단계, 및 적어도 한 명의 유저로부터 상기 실험 명령에 대한 허락을 수신하는 단계를 더 포함하고,
    (F) 상기 실험에 의해 적어도 하나의 시험 제품 및 적어도 하나의 생산 제품을 생산하고,
    상기 처리 데이터는 상기 기본 공정의 표시, 상기 기본 공정의 변화 및 제어 세트의 분리(split-off)를 포함하고,
    상기 제어 세트의 분리에 의해 상기 기본 공정에 따라 상기 적어도 하나의 생산 제품을 생산하고, 상기 기본 공정의 변화에 의해 적어도 하나의 시험 제품을 생산하는
    컴퓨터 구현 방법.
  26. 반도체 기술과 관련된 실험을 관리하기 위한 컴퓨터 구현 시스템에 있어서,
    (A) 자동화된 환경에서 동작할 수 있는 기본 공정으로부터의 적어도 일부 편차를 포함하는 실험 명령;
    (B) 상기 실험 명령의 수신에 응답하여 획득되는 상기 실험 명령의 허락; 및
    (C) 상기 실험 명령의 적어도 일부로부터 변환되는 상기 자동화된 환경에 의한 구현에 적합한 처리 데이터
    를 포함하고,
    (D) 상기 자동화된 환경은 상기 실험이 상기 처리 데이터에 따라 자동화된 환경에 의해 상기 기본 공정의 적어도 일부와 연계해서 실행되도록 하고,
    (E) 상기 허락은 상기 실험 명령을 정의하는 저장된 데이터, 상기 실험 명령의 복수의 유저들로의 분배, 상기 유저들 중 적어도 한 명으로부터 상기 실험 명령의 저장된 변화, 및 적어도 한 명의 유저로부터 상기 실험 명령에 대한 수신된 허락을 더 포함하고,
    (F) 상기 실험에 의해 적어도 하나의 시험 제품 및 적어도 하나의 생산 제품을 생산하고,
    상기 처리 데이터는 상기 기본 공정의 표시, 상기 기본 공정의 변화 및 제어 세트의 분리를 포함하고,
    상기 제어 세트의 분리에 의해 상기 기본 공정에 따라 상기 적어도 하나의 생산 제품을 생산하고, 상기 기본 공정의 변화에 의해 적어도 하나의 시험 제품을 생산하는
    컴퓨터 구현 시스템.
  27. 컴퓨터에 의해 실행되는, 자동화된 처리 기술과 관련된 실험을 관리하기 위한 컴퓨터 구현 방법을 포함하는 지시를 포함하는 컴퓨터 판독가능 매체에 있어서, 상기 구현을 위한 지시는,
    (A) 자동화된 환경에서 동작할 수 있는 기본 공정으로부터의 적어도 일부 편차를 포함하는 실험 명령을 수신하는 단계;
    (B) 상기 실험 명령의 허락을 획득하는 단계;
    (C) 상기 실험 명령의 적어도 일부를 상기 자동화된 환경에 의한 구현에 적합한 처리 데이터로 변환해서 저장하는 단계; 및
    (D) 상기 실험이 상기 처리 데이터에 따라 자동화된 환경에 의해 상기 기본 공정의 적어도 일부와 연계해서 실행되도록 하는 단계
    를 포함하고,
    (E) 상기 획득 단계는 상기 실험 명령을 정의하는 데이터를 저장하는 단계, 상기 실험 명령을 복수의 유저들에게 분배하는 단계, 상기 유저들 중 적어도 한 명으로부터 상기 실험 명령의 변화를 획득하는 단계, 및 적어도 한 명의 유저로부터 상기 실험 명령에 대한 허락을 수신하는 단계를 더 포함하고,
    (F) 상기 실험에 의해 적어도 하나의 시험 제품 및 적어도 하나의 생산 제품을 생산하고,
    상기 처리 데이터는 상기 기본 공정의 표시, 상기 기본 공정의 변화 및 제어세트의 분리를 포함하고,
    상기 제어 세트의 분리에 의해 상기 기본 공정에 따라 상기 적어도 하나의 생산 제품을 생산하고, 상기 기본 공정의 변화에 의해 적어도 하나의 시험 제품을 생산하는
    컴퓨터 판독가능 매체.
KR10-2004-7001992A 2001-08-14 2002-08-06 실험 관리 시스템, 방법 및 매체 KR20040025744A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/928,474 US6984198B2 (en) 2001-08-14 2001-08-14 Experiment management system, method and medium
US09/928,474 2001-08-14
PCT/US2002/024859 WO2003017013A2 (en) 2001-08-14 2002-08-06 Experiment management system, method and medium

Publications (1)

Publication Number Publication Date
KR20040025744A true KR20040025744A (ko) 2004-03-25

Family

ID=25456281

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-2004-7001992A KR20040025744A (ko) 2001-08-14 2002-08-06 실험 관리 시스템, 방법 및 매체

Country Status (8)

Country Link
US (1) US6984198B2 (ko)
EP (1) EP1417549A2 (ko)
JP (1) JP2005520225A (ko)
KR (1) KR20040025744A (ko)
CN (1) CN100511054C (ko)
AU (1) AU2002356021A1 (ko)
TW (1) TW578081B (ko)
WO (1) WO2003017013A2 (ko)

Families Citing this family (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6863771B2 (en) * 2001-07-25 2005-03-08 Micron Technology, Inc. Differential pressure application apparatus for use in polishing layers of semiconductor device structures and methods
US20040205572A1 (en) * 2002-02-19 2004-10-14 Wendell Fields Systems and methods for providing information in a computer network
US20030199112A1 (en) * 2002-03-22 2003-10-23 Applied Materials, Inc. Copper wiring module control
US6864107B1 (en) * 2003-06-11 2005-03-08 Advanced Micro Devices, Inc. Determination of nonphotolithographic wafer process-splits in integrated circuit technology development
US20050223837A1 (en) * 2003-11-10 2005-10-13 Blueshift Technologies, Inc. Methods and systems for driving robotic components of a semiconductor handling system
US7133735B2 (en) * 2005-01-27 2006-11-07 Taiwan Semiconductor Manufacturing Co., Ltd Experiment management system and method thereof in semiconductor manufacturing environment
US7477958B2 (en) * 2005-05-11 2009-01-13 International Business Machines Corporation Method of release and product flow management for a manufacturing facility
JP2008083806A (ja) 2006-09-26 2008-04-10 Hitachi Software Eng Co Ltd 研究開発財産管理システム
US8082045B1 (en) 2007-06-29 2011-12-20 Intermolecular, Inc. Substrate processing recipe manager
JP5291911B2 (ja) * 2007-09-28 2013-09-18 株式会社日立ハイテクノロジーズ 計測システム
US8219349B1 (en) 2007-12-21 2012-07-10 Intermolecular, Inc. Test management system
US20090200674A1 (en) * 2008-02-07 2009-08-13 International Business Machines Corporation Structure and method of forming transitional contacts between wide and thin beol wirings
US9435029B2 (en) 2010-08-29 2016-09-06 Advanced Energy Industries, Inc. Wafer chucking system for advanced plasma ion energy processing systems
US9767988B2 (en) 2010-08-29 2017-09-19 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
US9287092B2 (en) * 2009-05-01 2016-03-15 Advanced Energy Industries, Inc. Method and apparatus for controlling ion energy distribution
US11615941B2 (en) 2009-05-01 2023-03-28 Advanced Energy Industries, Inc. System, method, and apparatus for controlling ion energy distribution in plasma processing systems
US9287086B2 (en) 2010-04-26 2016-03-15 Advanced Energy Industries, Inc. System, method and apparatus for controlling ion energy distribution
JP5470063B2 (ja) * 2010-01-22 2014-04-16 株式会社アマダ 曲げ加工システム及びその方法
US8670857B2 (en) * 2010-02-02 2014-03-11 Applied Materials, Inc. Flexible process condition monitoring
US9309594B2 (en) 2010-04-26 2016-04-12 Advanced Energy Industries, Inc. System, method and apparatus for controlling ion energy distribution of a projected plasma
US9362089B2 (en) 2010-08-29 2016-06-07 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
US8874242B2 (en) 2011-03-18 2014-10-28 Rockwell Automation Technologies, Inc. Graphical language for optimization and use
US20120239169A1 (en) * 2011-03-18 2012-09-20 Rockwell Automation Technologies, Inc. Transparent models for large scale optimization and control
US8897900B2 (en) 2011-03-18 2014-11-25 Rockwell Automation Technologies, Inc. Graphical language for optimization and use
US8420531B2 (en) 2011-06-21 2013-04-16 International Business Machines Corporation Enhanced diffusion barrier for interconnect structures
US9685297B2 (en) 2012-08-28 2017-06-20 Advanced Energy Industries, Inc. Systems and methods for monitoring faults, anomalies, and other characteristics of a switched mode ion energy distribution system
US9210790B2 (en) * 2012-08-28 2015-12-08 Advanced Energy Industries, Inc. Systems and methods for calibrating a switched mode ion energy distribution system
KR101909571B1 (ko) 2012-08-28 2018-10-19 어드밴스드 에너지 인더스트리즈 인코포레이티드 넓은 다이내믹 레인지 이온 에너지 바이어스 제어; 고속 이온 에너지 스위칭; 이온 에너지 제어와 펄스동작 바이어스 서플라이; 및 가상 전면 패널
US9406617B1 (en) 2015-11-19 2016-08-02 International Business Machines Corporation Structure and process for W contacts
US10177091B2 (en) 2016-02-19 2019-01-08 Globalfoundries Inc. Interconnect structure and method of forming
US9773735B1 (en) 2016-08-16 2017-09-26 International Business Machines Corporation Geometry control in advanced interconnect structures
US9953864B2 (en) 2016-08-30 2018-04-24 International Business Machines Corporation Interconnect structure
US9768118B1 (en) 2016-09-19 2017-09-19 International Business Machines Corporation Contact having self-aligned air gap spacers
US9786603B1 (en) 2016-09-22 2017-10-10 International Business Machines Corporation Surface nitridation in metal interconnects
US9721895B1 (en) 2016-10-06 2017-08-01 International Business Machines Corporation Self-formed liner for interconnect structures
EP3711080B1 (en) 2017-11-17 2023-06-21 AES Global Holdings, Pte. Ltd. Synchronized pulsing of plasma processing source and substrate bias
EP3711081B1 (en) 2017-11-17 2024-06-19 AES Global Holdings, Pte. Ltd. Spatial and temporal control of ion bias voltage for plasma processing
CN111788654B (zh) 2017-11-17 2023-04-14 先进工程解决方案全球控股私人有限公司 等离子体处理系统中的调制电源的改进应用
US11133216B2 (en) 2018-06-01 2021-09-28 International Business Machines Corporation Interconnect structure
JP7107526B2 (ja) 2018-08-27 2022-07-27 株式会社エビデント 実験情報管理システム、実験ノートシステム、実験ノート生成装置、画面生成装置、実験情報管理方法、及び、プログラム
US10916503B2 (en) 2018-09-11 2021-02-09 International Business Machines Corporation Back end of line metallization structure
US10714382B2 (en) 2018-10-11 2020-07-14 International Business Machines Corporation Controlling performance and reliability of conductive regions in a metallization network
CN110233122A (zh) * 2019-06-04 2019-09-13 华经信息技术(上海)有限公司 半导体生产线mes系统及其实验方法
WO2021011450A1 (en) 2019-07-12 2021-01-21 Advanced Energy Industries, Inc. Bias supply with a single controlled switch
US11942309B2 (en) 2022-01-26 2024-03-26 Advanced Energy Industries, Inc. Bias supply with resonant switching
US11670487B1 (en) 2022-01-26 2023-06-06 Advanced Energy Industries, Inc. Bias supply control and data processing
US11978613B2 (en) 2022-09-01 2024-05-07 Advanced Energy Industries, Inc. Transition control in a bias supply

Family Cites Families (183)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US77031A (en) * 1868-04-21 e ole vbl a n d
US3205485A (en) 1960-10-21 1965-09-07 Ti Group Services Ltd Screening vane electro-mechanical transducer
US3229198A (en) 1962-09-28 1966-01-11 Hugo L Libby Eddy current nondestructive testing device for measuring multiple parameter variables of a metal sample
US3767900A (en) 1971-06-23 1973-10-23 Cons Paper Inc Adaptive controller having optimal filtering
CH569321A5 (ko) 1973-10-03 1975-11-14 Siemens Ag
US4000458A (en) 1975-08-21 1976-12-28 Bell Telephone Laboratories, Incorporated Method for the noncontacting measurement of the electrical conductivity of a lamella
US4209744A (en) 1976-04-29 1980-06-24 Fedosenko Jury K Eddy current device for automatically testing the quality of elongated electrically conductive objects by non-destructive techniques
US4207520A (en) 1978-04-06 1980-06-10 The United States Of America As Represented By The Secretary Of The Air Force Multiple frequency digital eddy current inspection system
US4302721A (en) 1978-05-08 1981-11-24 Tencor Instruments Non-contacting resistivity instrument with structurally related conductance and distance measuring transducers
US4368510A (en) 1980-10-20 1983-01-11 Leeds & Northrup Company Automatic identification system for self tuning process controller
US4609870A (en) 1981-03-27 1986-09-02 Hocking Electronics Limited Lift off compensation of eddy current crack detection system by controlling damping resistance of oscillator
US4616308A (en) 1983-11-15 1986-10-07 Shell Oil Company Dynamic process control
DE3581000D1 (de) 1984-05-19 1991-02-07 British Aerospace Industrielle verarbeitungs- und herstellungsverfahren.
US4967381A (en) 1985-04-30 1990-10-30 Prometrix Corporation Process control interface system for managing measurement data
US4663703A (en) 1985-10-02 1987-05-05 Westinghouse Electric Corp. Predictive model reference adaptive controller
FR2589566A1 (fr) 1985-11-06 1987-05-07 Cegedur Procede de mesure au defile et sans contact de l'epaisseur et de la temperature de feuilles metalliques minces au moyen de courants de foucault
US4750141A (en) 1985-11-26 1988-06-07 Ade Corporation Method and apparatus for separating fixture-induced error from measured object characteristics and for compensating the measured object characteristic with the error, and a bow/warp station implementing same
US4974543A (en) 1986-02-28 1990-12-04 Xerox Corporation Apparatus for amorphous silicon film
US4755753A (en) 1986-07-23 1988-07-05 General Electric Company Eddy current surface mapping system for flaw detection
US5021997A (en) * 1986-09-29 1991-06-04 At&T Bell Laboratories Test automation system
JPH0776905B2 (ja) * 1987-01-06 1995-08-16 日本電信電話株式会社 文書管理装置
US4901218A (en) 1987-08-12 1990-02-13 Renishaw Controls Limited Communications adaptor for automated factory system
US5345587A (en) 1988-09-14 1994-09-06 Digital Equipment Corporation Extensible entity management system including a dispatching kernel and modules which independently interpret and execute commands
US4938600A (en) 1989-02-09 1990-07-03 Interactive Video Systems, Inc. Method and apparatus for measuring registration between layers of a semiconductor wafer
US4957605A (en) 1989-04-17 1990-09-18 Materials Research Corporation Method and apparatus for sputter coating stepped wafers
JP2780814B2 (ja) 1989-06-22 1998-07-30 株式会社日立製作所 生産管理システム
US5485082A (en) 1990-04-11 1996-01-16 Micro-Epsilon Messtechnik Gmbh & Co. Kg Method of calibrating a thickness measuring device and device for measuring or monitoring the thickness of layers, tapes, foils, and the like
US5208765A (en) 1990-07-20 1993-05-04 Advanced Micro Devices, Inc. Computer-based method and system for product development
US5495417A (en) 1990-08-14 1996-02-27 Kabushiki Kaisha Toshiba System for automatically producing different semiconductor products in different quantities through a plurality of processes along a production line
US5220517A (en) 1990-08-31 1993-06-15 Sci Systems, Inc. Process gas distribution system and method with supervisory control
US5270222A (en) 1990-12-31 1993-12-14 Texas Instruments Incorporated Method and apparatus for semiconductor device fabrication diagnosis and prognosis
US5226118A (en) 1991-01-29 1993-07-06 Prometrix Corporation Data analysis system and method for industrial process control systems
EP0524317A4 (en) 1991-02-08 1995-02-15 Tokyo Shibaura Electric Co Model forecasting controller
GB2257507B (en) 1991-06-26 1995-03-01 Digital Equipment Corp Semiconductor wafer processing with across-wafer critical dimension monitoring using optical endpoint detection
US5469361A (en) 1991-08-08 1995-11-21 The Board Of Regents Acting For And On Behalf Of The University Of Michigan Generic cell controlling method and apparatus for computer integrated manufacturing system
US5240552A (en) 1991-12-11 1993-08-31 Micron Technology, Inc. Chemical mechanical planarization (CMP) of a semiconductor wafer using acoustical waves for in-situ end point detection
US5916891A (en) * 1992-01-13 1999-06-29 Smithkline Beecham Corporation Pyrimidinyl imidazoles
US5525808A (en) 1992-01-23 1996-06-11 Nikon Corporaton Alignment method and alignment apparatus with a statistic calculation using a plurality of weighted coordinate positions
US5283141A (en) 1992-03-05 1994-02-01 National Semiconductor Photolithography control system and method using latent image measurements
US5602492A (en) 1992-03-13 1997-02-11 The United States Of America As Represented By The Secretary Of Commerce Electrical test structure and method for measuring the relative locations of conducting features on an insulating substrate
US5857258A (en) 1992-03-13 1999-01-12 The United States Of America As Represented By The Secretary Of Commerce Electrical test structure and method for measuring the relative locations of conductive features on an insulating substrate
JPH076939A (ja) * 1992-12-02 1995-01-10 Hitachi Ltd 生産管理システム
FR2700403B1 (fr) 1993-01-12 1995-04-07 Sextant Avionique Procédé de structuration d'informations utilisées dans un processus industriel et son application à l'assistance au pilotage d'un aérodyne.
US5586039A (en) 1993-03-29 1996-12-17 Texas Instruments Incorporated Computer-aided manufacturing support method and system for specifying relationships and dependencies between process type components
US5369544A (en) 1993-04-05 1994-11-29 Ford Motor Company Silicon-on-insulator capacitive surface micromachined absolute pressure sensor
US5402367A (en) 1993-07-19 1995-03-28 Texas Instruments, Incorporated Apparatus and method for model based process control
US5642296A (en) 1993-07-29 1997-06-24 Texas Instruments Incorporated Method of diagnosing malfunctions in semiconductor manufacturing equipment
JP3039210B2 (ja) 1993-08-03 2000-05-08 日本電気株式会社 半導体装置の製造方法
US5546312A (en) 1993-09-20 1996-08-13 Texas Instruments Incorporated Use of spatial models for simultaneous control of various non-uniformity metrics
US5503707A (en) 1993-09-22 1996-04-02 Texas Instruments Incorporated Method and apparatus for process endpoint prediction based on actual thickness measurements
DE69425100T2 (de) 1993-09-30 2001-03-15 Koninkl Philips Electronics Nv Dynamisches neuronales Netzwerk
US5497381A (en) 1993-10-15 1996-03-05 Analog Devices, Inc. Bitstream defect analysis method for integrated circuits
US5375064A (en) 1993-12-02 1994-12-20 Hughes Aircraft Company Method and apparatus for moving a material removal tool with low tool accelerations
US5526293A (en) 1993-12-17 1996-06-11 Texas Instruments Inc. System and method for controlling semiconductor wafer processing
US5420796A (en) 1993-12-23 1995-05-30 Vlsi Technology, Inc. Method of inspecting planarity of wafer surface after etchback step in integrated circuit fabrication
US5664987A (en) 1994-01-31 1997-09-09 National Semiconductor Corporation Methods and apparatus for control of polishing pad conditioning for wafer planarization
US5511005A (en) 1994-02-16 1996-04-23 Ade Corporation Wafer handling and processing system
US5666297A (en) 1994-05-13 1997-09-09 Aspen Technology, Inc. Plant simulation and optimization software apparatus and method using dual execution models
US5494854A (en) 1994-08-17 1996-02-27 Texas Instruments Incorporated Enhancement in throughput and planarity during CMP using a dielectric stack containing HDP-SiO2 films
JP3402412B2 (ja) 1994-09-20 2003-05-06 株式会社リコー プロセスシミュレーション入力データ設定装置
US5519605A (en) 1994-10-24 1996-05-21 Olin Corporation Model predictive control apparatus and method
DE4446966A1 (de) 1994-12-28 1996-07-04 Itt Ind Gmbh Deutsche Informationssystem zur Produktionskontrolle
US5534289A (en) 1995-01-03 1996-07-09 Competitive Technologies Inc. Structural crack monitoring technique
US5572438A (en) * 1995-01-05 1996-11-05 Teco Energy Management Services Engery management and building automation system
US5617023A (en) 1995-02-02 1997-04-01 Otis Elevator Company Industrial contactless position sensor
US5646870A (en) 1995-02-13 1997-07-08 Advanced Micro Devices, Inc. Method for setting and adjusting process parameters to maintain acceptable critical dimensions across each die of mass-produced semiconductor wafers
JPH08250384A (ja) * 1995-03-14 1996-09-27 Hitachi Ltd 製造装置及び製造条件制御方法
US5831851A (en) 1995-03-21 1998-11-03 Seagate Technology, Inc. Apparatus and method for controlling high throughput sputtering
US5761065A (en) 1995-03-30 1998-06-02 Advanced Micro Devices, Inc. Arrangement and method for detecting sequential processing effects in manufacturing
US5541510A (en) 1995-04-06 1996-07-30 Kaman Instrumentation Corporation Multi-Parameter eddy current measuring system with parameter compensation technical field
US5665214A (en) 1995-05-03 1997-09-09 Sony Corporation Automatic film deposition control method and system
US5649169A (en) 1995-06-20 1997-07-15 Advanced Micro Devices, Inc. Method and system for declustering semiconductor defect data
US5665199A (en) 1995-06-23 1997-09-09 Advanced Micro Devices, Inc. Methodology for developing product-specific interlayer dielectric polish processes
US5599423A (en) 1995-06-30 1997-02-04 Applied Materials, Inc. Apparatus and method for simulating and optimizing a chemical mechanical polishing system
US5828778A (en) 1995-07-13 1998-10-27 Matsushita Electric Industrial Co., Ltd. Method and apparatus for analyzing failure of semiconductor wafer
US5825913A (en) 1995-07-18 1998-10-20 Cognex Corporation System for finding the orientation of a wafer
KR0153617B1 (ko) 1995-09-20 1998-12-01 김광호 반도체 집적회로 제조공정방법
US5777901A (en) 1995-09-29 1998-07-07 Advanced Micro Devices, Inc. Method and system for automated die yield prediction in semiconductor manufacturing
US5761064A (en) 1995-10-06 1998-06-02 Advanced Micro Devices, Inc. Defect management system for productivity and yield improvement
US5654903A (en) 1995-11-07 1997-08-05 Lucent Technologies Inc. Method and apparatus for real time monitoring of wafer attributes in a plasma etch process
US5603707A (en) 1995-11-28 1997-02-18 The Procter & Gamble Company Absorbent article having a rewet barrier
JP3892493B2 (ja) 1995-11-29 2007-03-14 大日本スクリーン製造株式会社 基板処理システム
US5719796A (en) 1995-12-04 1998-02-17 Advanced Micro Devices, Inc. System for monitoring and analyzing manufacturing processes using statistical simulation with single step feedback
US5824599A (en) 1996-01-16 1998-10-20 Cornell Research Foundation, Inc. Protected encapsulation of catalytic layer for electroless copper interconnect
US5674787A (en) 1996-01-16 1997-10-07 Sematech, Inc. Selective electroless copper deposited interconnect plugs for ULSI applications
KR100192216B1 (ko) 1996-02-29 1999-06-15 황인길 웨이퍼 맵 변환방법
US5825356A (en) 1996-03-18 1998-10-20 Wall Data Incorporated Help system with semitransparent window for disabling controls
US5943550A (en) * 1996-03-29 1999-08-24 Advanced Micro Devices, Inc. Method of processing a semiconductor wafer for controlling drive current
US5871805A (en) 1996-04-08 1999-02-16 Lemelson; Jerome Computer controlled vapor deposition processes
US5735055A (en) 1996-04-23 1998-04-07 Aluminum Company Of America Method and apparatus for measuring the thickness of an article at a plurality of points
US5660895A (en) 1996-04-24 1997-08-26 Board Of Supervisors Of Louisiana State University And Agricultural And Mechanical College Low-temperature plasma-enhanced chemical vapor deposition of silicon oxide films and fluorinated silicon oxide films using disilane as a silicon precursor
US5859777A (en) 1996-05-14 1999-01-12 Toshiba Kikai Kabushiki Kaisha Casting control support system for die casting machines
US5663797A (en) 1996-05-16 1997-09-02 Micron Technology, Inc. Method and apparatus for detecting the endpoint in chemical-mechanical polishing of semiconductor wafers
JPH1086040A (ja) 1996-06-13 1998-04-07 Mitsubishi Electric Corp 多系統の自動プログラミング方法及びその装置
JPH10112493A (ja) 1996-08-13 1998-04-28 Sony Corp 表面矯正薄板保持装置、面調整手段及び向き調整手段
US5844554A (en) 1996-09-17 1998-12-01 Bt Squared Technologies, Inc. Methods and systems for user interfaces and constraint handling configurations software
US5667424A (en) 1996-09-25 1997-09-16 Chartered Semiconductor Manufacturing Pte Ltd. New chemical mechanical planarization (CMP) end point detection apparatus
US6041263A (en) * 1996-10-01 2000-03-21 Aspen Technology, Inc. Method and apparatus for simulating and optimizing a plant model
US5928389A (en) * 1996-10-21 1999-07-27 Applied Materials, Inc. Method and apparatus for priority based scheduling of wafer processing within a multiple chamber semiconductor wafer processing tool
US5859964A (en) 1996-10-25 1999-01-12 Advanced Micro Devices, Inc. System and method for performing real time data acquisition, process modeling and fault detection of wafer fabrication processes
US5874345A (en) 1996-11-18 1999-02-23 International Business Machines Corporation Method for planarizing TEOS SiO2 filled shallow isolation trenches
US5695810A (en) 1996-11-20 1997-12-09 Cornell Research Foundation, Inc. Use of cobalt tungsten phosphide as a barrier material for copper metallization
US5862054A (en) 1997-02-20 1999-01-19 Taiwan Semiconductor Manufacturing Company, Ltd. Process monitoring system for real time statistical process control
TW396308B (en) * 1997-04-01 2000-07-01 Tumbleweed Software Corp Document delivery system
US5912678A (en) * 1997-04-14 1999-06-15 Texas Instruments Incorporated Process flow design at the module effects level through the use of acceptability regions
US5926690A (en) * 1997-05-28 1999-07-20 Advanced Micro Devices, Inc. Run-to-run control process for controlling critical dimensions
US6111634A (en) * 1997-05-28 2000-08-29 Lam Research Corporation Method and apparatus for in-situ monitoring of thickness using a multi-wavelength spectrometer during chemical-mechanical polishing
US6012048A (en) * 1997-05-30 2000-01-04 Capital Security Systems, Inc. Automated banking system for dispensing money orders, wire transfer and bill payment
EP0890416A3 (en) * 1997-07-11 2002-09-11 Tokyo Seimitsu Co.,Ltd. Wafer polishing apparatus
US6100184A (en) * 1997-08-20 2000-08-08 Sematech, Inc. Method of making a dual damascene interconnect structure using low dielectric constant material for an inter-level dielectric layer
US6017437A (en) * 1997-08-22 2000-01-25 Cutek Research, Inc. Process chamber and method for depositing and/or removing material on a substrate
US6113462A (en) * 1997-12-18 2000-09-05 Advanced Micro Devices, Inc. Feedback loop for selective conditioning of chemical mechanical polishing pad
KR100258841B1 (ko) * 1997-12-26 2000-06-15 윤종용 반도체 제조설비 관리시스템의 설비 유닛상태 관리방법
EP0932194A1 (en) * 1997-12-30 1999-07-28 International Business Machines Corporation Method and system for semiconductor wafer fabrication process real-time in-situ interactive supervision
KR100278600B1 (ko) * 1998-01-14 2001-01-15 윤종용 반도체 제조설비 관리시스템의 설비유닛의 상태 관리방법
TW400621B (en) * 1998-01-26 2000-08-01 United Microelectronics Corp Metallization structure and the manufacture method thereof
US6271670B1 (en) * 1998-02-09 2001-08-07 Sandia Corporation Method and apparatus for detecting external cracks from within a metal tube
JP3978696B2 (ja) * 1998-02-13 2007-09-19 三菱マテリアル株式会社 汚泥処理システム
US6228280B1 (en) * 1998-05-06 2001-05-08 International Business Machines Corporation Endpoint detection by chemical reaction and reagent
US6381564B1 (en) * 1998-05-28 2002-04-30 Texas Instruments Incorporated Method and system for using response-surface methodologies to determine optimal tuning parameters for complex simulators
US6116461A (en) * 1998-05-29 2000-09-12 Pyxis Corporation Method and apparatus for the dispensing of drugs
US6230069B1 (en) * 1998-06-26 2001-05-08 Advanced Micro Devices, Inc. System and method for controlling the manufacture of discrete parts in semiconductor fabrication using model predictive control
US6233493B1 (en) * 1998-09-16 2001-05-15 I2 Technologies, Inc. Computer-implemented product development planning method
US6249913B1 (en) * 1998-10-09 2001-06-19 General Dynamics Ots (Aerospace), Inc. Aircraft data management system
US6277014B1 (en) * 1998-10-09 2001-08-21 Applied Materials, Inc. Carrier head with a flexible membrane for chemical mechanical polishing
JP4365914B2 (ja) * 1998-11-25 2009-11-18 キヤノン株式会社 半導体製造装置およびデバイス製造方法
US6339727B1 (en) * 1998-12-21 2002-01-15 Recot, Inc. Apparatus and method for controlling distribution of product in manufacturing process
US6100195A (en) * 1998-12-28 2000-08-08 Chartered Semiconductor Manu. Ltd. Passivation of copper interconnect surfaces with a passivating metal layer
US6212961B1 (en) * 1999-02-11 2001-04-10 Nova Measuring Instruments Ltd. Buffer system for a wafer handling system
US6281127B1 (en) * 1999-04-15 2001-08-28 Taiwan Semiconductor Manufacturing Company Self-passivation procedure for a copper damascene structure
US6259160B1 (en) * 1999-04-21 2001-07-10 Advanced Micro Devices, Inc. Apparatus and method of encapsulated copper (Cu) Interconnect formation
US6303395B1 (en) * 1999-06-01 2001-10-16 Applied Materials, Inc. Semiconductor processing techniques
US6360133B1 (en) * 1999-06-17 2002-03-19 Advanced Micro Devices, Inc. Method and apparatus for automatic routing for reentrant process
CN1239969C (zh) * 1999-06-22 2006-02-01 布鲁克斯自动化公司 用于微电子学器件生产的逐次运行控制器
US6046108A (en) * 1999-06-25 2000-04-04 Taiwan Semiconductor Manufacturing Company Method for selective growth of Cu3 Ge or Cu5 Si for passivation of damascene copper structures and device manufactured thereby
US6607926B1 (en) * 1999-08-10 2003-08-19 Advanced Micro Devices, Inc. Method and apparatus for performing run-to-run control in a batch manufacturing environment
US6391780B1 (en) * 1999-08-23 2002-05-21 Taiwan Semiconductor Manufacturing Company Method to prevent copper CMP dishing
US6306008B1 (en) * 1999-08-31 2001-10-23 Micron Technology, Inc. Apparatus and method for conditioning and monitoring media used for chemical-mechanical planarization
US6556881B1 (en) * 1999-09-09 2003-04-29 Advanced Micro Devices, Inc. Method and apparatus for integrating near real-time fault detection in an APC framework
US6560504B1 (en) * 1999-09-29 2003-05-06 Advanced Micro Devices, Inc. Use of contamination-free manufacturing data in fault detection and classification as well as in run-to-run control
US6427093B1 (en) * 1999-10-07 2002-07-30 Advanced Micro Devices, Inc. Method and apparatus for optimal wafer-by-wafer processing
US6417014B1 (en) * 1999-10-19 2002-07-09 Advanced Micro Devices, Inc. Method and apparatus for reducing wafer to wafer deposition variation
KR100311077B1 (ko) * 1999-10-23 2001-11-02 윤종용 선행공정의 결과에 따라 최적의 후행공정장비 및/또는 후행공정조건을 가변적으로 적용하는 로트 디스패칭방법 및 이를 위한 시스템
US6096649A (en) * 1999-10-25 2000-08-01 Taiwan Semiconductor Manufacturing Company Top metal and passivation procedures for copper damascene structures
US6532555B1 (en) * 1999-10-29 2003-03-11 Advanced Micro Devices, Inc. Method and apparatus for integration of real-time tool data and in-line metrology for fault detection in an advanced process control (APC) framework
US6546508B1 (en) * 1999-10-29 2003-04-08 Advanced Micro Devices, Inc. Method and apparatus for fault detection of a processing tool in an advanced process control (APC) framework
US6355559B1 (en) * 1999-11-18 2002-03-12 Texas Instruments Incorporated Passivation of inlaid metallization
US6640151B1 (en) * 1999-12-22 2003-10-28 Applied Materials, Inc. Multi-tool control system, method and medium
US6465263B1 (en) * 2000-01-04 2002-10-15 Advanced Micro Devices, Inc. Method and apparatus for implementing corrected species by monitoring specific state parameters
US6449524B1 (en) * 2000-01-04 2002-09-10 Advanced Micro Devices, Inc. Method and apparatus for using equipment state data for run-to-run control of manufacturing tools
KR20010077968A (ko) * 2000-01-26 2001-08-20 한기형 인터넷 광고 방법 및 시스템
US6517414B1 (en) * 2000-03-10 2003-02-11 Appied Materials, Inc. Method and apparatus for controlling a pad conditioning process of a chemical-mechanical polishing apparatus
JP4874465B2 (ja) * 2000-03-28 2012-02-15 株式会社東芝 渦電流損失測定センサ
US6616513B1 (en) * 2000-04-07 2003-09-09 Applied Materials, Inc. Grid relief in CMP polishing pad to accurately measure pad wear, pad profile and pad wear profile
US6245581B1 (en) * 2000-04-19 2001-06-12 Advanced Micro Devices, Inc. Method and apparatus for control of critical dimension using feedback etch control
US6291367B1 (en) * 2000-06-01 2001-09-18 Atmel Corporation Method for depositing a selected thickness of an interlevel dielectric material to achieve optimum global planarity on a semiconductor wafer
US6609946B1 (en) * 2000-07-14 2003-08-26 Advanced Micro Devices, Inc. Method and system for polishing a semiconductor wafer
US6400162B1 (en) * 2000-07-21 2002-06-04 Ade Corporation Capacitive displacement sensor for measuring thin targets
US6725402B1 (en) * 2000-07-31 2004-04-20 Advanced Micro Devices, Inc. Method and apparatus for fault detection of a processing tool and control thereof using an advanced process control (APC) framework
US6541401B1 (en) * 2000-07-31 2003-04-01 Applied Materials, Inc. Wafer pretreatment to decrease rate of silicon dioxide deposition on silicon nitride compared to silicon substrate
US6442496B1 (en) * 2000-08-08 2002-08-27 Advanced Micro Devices, Inc. Method and apparatus for dynamic sampling of a production line
US6708074B1 (en) * 2000-08-11 2004-03-16 Applied Materials, Inc. Generic interface builder
US6537912B1 (en) * 2000-08-25 2003-03-25 Micron Technology Inc. Method of forming an encapsulated conductive pillar
US6618692B2 (en) * 2000-09-20 2003-09-09 Hitachi, Ltd. Remote diagnostic system and method for semiconductor manufacturing equipment
JP3634734B2 (ja) * 2000-09-22 2005-03-30 株式会社日立製作所 プラズマ処理装置および処理方法
US6432728B1 (en) * 2000-10-16 2002-08-13 Promos Technologies, Inc. Method for integration optimization by chemical mechanical planarization end-pointing technique
US6346426B1 (en) * 2000-11-17 2002-02-12 Advanced Micro Devices, Inc. Method and apparatus for characterizing semiconductor device performance variations based on independent critical dimension measurements
US6625497B2 (en) * 2000-11-20 2003-09-23 Applied Materials Inc. Semiconductor processing module with integrated feedback/feed forward metrology
US6728587B2 (en) * 2000-12-27 2004-04-27 Insyst Ltd. Method for global automated process control
US6535783B1 (en) * 2001-03-05 2003-03-18 Advanced Micro Devices, Inc. Method and apparatus for the integration of sensor data from a process tool in an advanced process control (APC) framework
US6540591B1 (en) * 2001-04-18 2003-04-01 Alexander J. Pasadyn Method and apparatus for post-polish thickness and uniformity control
JP2002373843A (ja) * 2001-06-14 2002-12-26 Nec Corp 塗布装置及び塗布膜厚制御方法
US6678570B1 (en) * 2001-06-26 2004-01-13 Advanced Micro Devices, Inc. Method and apparatus for determining output characteristics using tool state data
US6607976B2 (en) * 2001-09-25 2003-08-19 Applied Materials, Inc. Copper interconnect barrier layer structure and formation method
US6605549B2 (en) * 2001-09-29 2003-08-12 Intel Corporation Method for improving nucleation and adhesion of CVD and ALD films deposited onto low-dielectric-constant dielectrics
US7006955B2 (en) * 2001-10-15 2006-02-28 General Electric Company System and method for statistical design of ultrasound probe and imaging system
US6708075B2 (en) * 2001-11-16 2004-03-16 Advanced Micro Devices Method and apparatus for utilizing integrated metrology data as feed-forward data
US6630741B1 (en) * 2001-12-07 2003-10-07 Advanced Micro Devices, Inc. Method of reducing electromigration by ordering zinc-doping in an electroplated copper-zinc interconnect and a semiconductor device thereby formed
US6515368B1 (en) * 2001-12-07 2003-02-04 Advanced Micro Devices, Inc. Semiconductor device with copper-filled via includes a copper-zinc/alloy film for reduced electromigration of copper
US6774998B1 (en) * 2001-12-27 2004-08-10 Advanced Micro Devices, Inc. Method and apparatus for identifying misregistration in a complimentary phase shift mask process
US6751518B1 (en) * 2002-04-29 2004-06-15 Advanced Micro Devices, Inc. Dynamic process state adjustment of a processing tool to reduce non-uniformity
US6528409B1 (en) * 2002-04-29 2003-03-04 Advanced Micro Devices, Inc. Interconnect structure formed in porous dielectric material with minimized degradation and electromigration
US6735492B2 (en) * 2002-07-19 2004-05-11 International Business Machines Corporation Feedback method utilizing lithographic exposure field dimensions to predict process tool overlay settings

Also Published As

Publication number Publication date
US20030036815A1 (en) 2003-02-20
TW578081B (en) 2004-03-01
JP2005520225A (ja) 2005-07-07
EP1417549A2 (en) 2004-05-12
AU2002356021A1 (en) 2003-03-03
US6984198B2 (en) 2006-01-10
CN100511054C (zh) 2009-07-08
WO2003017013A3 (en) 2003-05-08
CN1541348A (zh) 2004-10-27
WO2003017013A2 (en) 2003-02-27

Similar Documents

Publication Publication Date Title
KR20040025744A (ko) 실험 관리 시스템, 방법 및 매체
JP6377691B2 (ja) プロセス制御の検索結果を表示するための方法および装置、機械可読命令を格納する有形製品
AU600755B2 (en) Apparatus for distributing data processing across a plurality of loci of control
US10503160B2 (en) Integrated testing mechanism for industrial process control and automation systems
EP1903452A1 (en) Method and system for processing the input in an XML form
US7577486B2 (en) Quality improvement system
WO2007125108A1 (en) A method and system for controlling an industrial process including automatically displaying information generated in response to a query in an industrial installation
US6499001B1 (en) Engineering database feedback system
US20110010754A1 (en) Access control system, access control method, and recording medium
JP5510031B2 (ja) 情報セキュリティ管理支援方法及び装置
JP7246301B2 (ja) プログラム開発支援システム及びプログラム開発支援方法
Zheng et al. Integration of process FMEA with product and process design based on key characteristics
CN112689804B (zh) 将技术设施的资产的数据集成到平台中的方法、数字平台和计算机程序产品
JP4055013B2 (ja) ワークフローシステムおよびワークフローシステムにおける作業分割方法
JP2001014321A (ja) 情報検索方法および情報検索装置
WO2022196153A1 (ja) 設計支援装置、方法およびプログラム
EP3989080A1 (en) Data transformation and verification method
US6466945B1 (en) Accurate processing through procedure validation in software controlled environment
WO2015099575A1 (ru) Способы и системы для формирования и поиска в семантической структуре данных
Simoncicova et al. Analysis of the Quality of the Painting Process Using Preprocessing Techniques of Text Mining
JP2024030830A (ja) 情報検索装置および情報検索方法
JPH0863383A (ja) データベースアクセス制御方式
Pollard Reformulating a Semiconductor Information Problem with TRIZ
CN115934763A (zh) 数据处理方法、系统和可读存储介质
JPS6089936A (ja) デ−タ解析方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
NORF Unpaid initial registration fee