CN100492666C - 高密度finfet集成方案 - Google Patents

高密度finfet集成方案 Download PDF

Info

Publication number
CN100492666C
CN100492666C CNB2004800241873A CN200480024187A CN100492666C CN 100492666 C CN100492666 C CN 100492666C CN B2004800241873 A CNB2004800241873 A CN B2004800241873A CN 200480024187 A CN200480024187 A CN 200480024187A CN 100492666 C CN100492666 C CN 100492666C
Authority
CN
China
Prior art keywords
fin
source electrode
drain region
field effect
effect transistor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CNB2004800241873A
Other languages
English (en)
Other versions
CN1839483A (zh
Inventor
E·J·诺瓦克
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Core Usa Second LLC
GlobalFoundries Inc
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of CN1839483A publication Critical patent/CN1839483A/zh
Application granted granted Critical
Publication of CN100492666C publication Critical patent/CN100492666C/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Thin Film Transistor (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Bipolar Transistors (AREA)

Abstract

本发明提供了一种制造鳍片型场效应晶体管(FinFET)的方法,所述方法始于构图具有两个较长鳍片(21)和两个较短部分(22)的半导体材料(16)的矩形环。较长鳍片(21)与较短部分(22)垂直。工艺继续在两个较长鳍片(21)的中心部分上构图矩形栅极导体(20),其中栅极导体(20)垂直于两个较长鳍片(21)。接着,本发明掺杂半导体材料(11)未被栅极导体(20)覆盖的部分,以在鳍片(21)的延伸超过栅极(20)的部分中形成源极和漏极区。接着,本发明沿栅极导体(20)形成绝缘侧壁(31)。然后,本发明用导电接触材料(30)覆盖栅极导体(20)和半导体材料(11),并在两个较长鳍片(21)的第一鳍片(42)的源极和漏极区之上的一部分导电接触材料(30)上形成接触掩模。本发明随之选择性蚀刻导电接触材料(30)和半导体材料(11)未被接触掩模保护的区域。这在第一鳍片(42)的源极和漏极区上留下导电接触材料(30),并除去两个较长鳍片(21)的第二鳍片(41)的源极和漏极区。此工艺形成了独特的FinFET,它具有包括中心沟道区(55)和邻近沟道区(55)的源极和漏极区(56)的第一鳍片(42),与第一鳍片(42)交叉并覆盖沟道区(55)的栅极(20),以及只具有沟道区的第二鳍片(41)。第二鳍片平行于第一鳍片(42),并被栅极覆盖。

Description

高密度FINFET集成方案
技术领域
本发明通常涉及晶体管,尤其涉及公知如FinFET的鳍片型晶体管及改进的制造工艺和FinFET结构。
背景技术
随着晶体管尺寸继续减小的需求,出现了新的并且更小型的晶体管。晶体管技术的一个最近的发展是公知为FinFET的鳍片型场效应晶体管的引入。通过参考在文中接合的Hu等(下文中为“Hu”)的美国专利6,413,802公开了包括中心鳍片的FinFET结构,所述中心鳍片具有沿其中心的沟道和鳍片结构末端处的源极和漏极。栅极导体覆盖所述沟道部分。
尽管FinFET结构减小了晶体管基器件的尺寸,但继续减小FinFET晶体管的尺寸仍很重要。下面描述的本发明提供了减小相邻FinFET之间的距离的方法和结构,由此减小晶体管基结构的整体尺寸。
发明内容
本发明提供了一种制造鳍片型场效应晶体管(FinFET)的方法,所述方法始于在衬底上构图矩形牺牲心轴。接着,本发明沿所述心轴的垂直表面形成掩模侧壁。接下来,除去所述心轴并蚀刻所述半导体层未被所述硬掩模保护的部分,以留下具有两个较长鳍片和两个较短部分的半导体材料的独立矩形环。本工艺继续在所述两个较长鳍片的中心部分上构图矩形栅极导体,其中所述栅极导体与所述两个较长鳍片交叉。接着,本发明掺杂所述半导体材料未被所述栅极导体覆盖的部分,以在所述鳍片的延伸超过所述栅极的部分中形成源极和漏极区。随后,本发明沿所述栅极导体形成绝缘侧壁。
然后,本发明用导电接触材料覆盖所述栅极导体和所述半导体材料,并在所述两个较长鳍片的第一鳍片的源极和漏极区上的一部分所述导电接触材料之上形成接触掩模。本发明随之选择性蚀刻所述导电接触材料和所述半导体材料未被所述接触掩模保护的区域。这在所述第一鳍片的源极和漏极区上留下所述导电接触材料,并除去所述两个较长鳍片的第二鳍片的源极和漏极区。
此工艺形成了独特的FinFET,它具有包括中心沟道区和邻近所述沟道区的源极和漏极区的第一鳍片,与所述第一鳍片交叉并覆盖所述沟道区的栅极结构,以及只具有沟道区的第二鳍片。所述第二鳍片平行于所述第一鳍片,并被所述栅极覆盖。
在此独特结构中,所述第二鳍片具有与所述栅极结构的宽度相等的长度而所述第一鳍片长于所述第二鳍片。所述第一鳍片的源极和漏极区延伸超过所述栅极结构;然而,所述第二鳍片没有延伸超过所述栅极结构。所述源极和漏极接触只覆盖所述第一鳍片的所述源极和漏极区,而没有接触置于邻近所述第二鳍片。
附图说明
参考附图,通过下述本发明优选实施例的详细描述,将更好地理解上述和其它目的、方面和优点,其中:
图1A为根据本发明部分完成的FinFET结构的示意性顶部视图;
图1B为沿图1A中的线A-A’的横截面图;
图1C为沿图1A中的线B-B’的横截面图;
图2A为根据本发明部分完成的FinFET结构的示意性顶部视图;
图2B为沿图2A中的线A-A’的横截面图;
图2C为沿图2A中的线B-B’的横截面图;
图3A为根据本发明部分完成的FinFET结构的示意性顶部视图;
图3B为沿图3A中的线A-A的横截面图;
图3C为沿图3A中的线B-B’的横截面图;
图4A为根据本发明部分完成的FinFET结构的示意性顶部视图;
图4B为沿图4A中的线A-A’的横截面图;
图4C为沿图4A中的线B-B’的横截面图;
图4D为沿图4A中的线C-C’的横截面图;
图5A为示意性透视图,示出了与栅极交叉的本发明的鳍片;
图5B为图5A中所示结构的示意性顶部视图;
图6A为示意性顶部视图,示出了当利用常规修整(trim)掩模时所需的间隔;
图6B为示意性顶部视图,示出了当避免使用修整掩模时利用本发明可以获得的间隔;以及
图7为流程图,示出了本发明的优选方法。
具体实施方式
由于FinFET中的硅鳍片明显薄于栅极长度,限定鳍片厚度的非常规方式是有用的。为了形成鳍片,本发明使用了侧壁图像转移(SIT)工艺。由于所有通过SIT工艺留在晶片上的图形为环形,所以需要修整掩模(TR)除去在侧壁图像转移工艺期间形成的多余的鳍片图形。修整掩模将环断为具有末端的线。修整掩模需要临界图像容差和布局。因此,修整掩模成本高并会降低产量。此外,由于修整的鳍片为二次对准到后来的掩模,所以修整掩模增加了其它覆层的需求。下面描述的本发明消除了使用此种修整掩模的需要。
如上所述,本发明使用侧壁图像转移工艺形成用于FinFET器件的鳍片,而同时本发明取消了对分离修整掩模的需求。相反,本发明使用与限定源极和漏极接触相同的掩模修整在侧壁图像转移工艺期间形成的环结构的多余部分。本发明的方法始于构图覆盖半导体材料层11的硬掩模层16上的矩形牺牲心轴10,如图1A所示。接下来,本发明形成沿心轴10垂直表面的侧壁隔离物12。通过淀积掩模材料并接着实施选择性各向异性蚀刻工艺形成侧壁隔离物12,所述蚀刻工艺以基本上高于从垂直表面除去材料的速率从水平表面除去材料。此工艺只留下沿心轴10的侧面的淀积的掩模材料12,如图1A所示。接着,除去心轴10,使用隔离物12作为掩模蚀刻硬掩模材料16,并除去隔离物12,以留下具有两个较长部分15和两个较短部分14的掩模材料16的独立矩形环。
使用蚀刻工艺除去下面的半导体材料11未被掩模16保护的部分。这会留下如图1B和1C中清楚示出的被掩模材料16覆盖的半导体材料11的独立矩形环。图1A为结构的顶部视图,图1B为沿图1A中的线A-A’的横截面图,而图1C为沿图1A中的线B-B’的横截面图。半导体材料11的较长鳍片21垂直于半导体材料11的较短部分22。
通过构图两个较长鳍片21的中心部分之上的矩形栅极导体20继续该工艺,其中栅极导体20与两个较长鳍片21交叉,如图2A-2C所示。接下来,本发明掺杂半导体环11未被栅极导体20覆盖的部分,以在较长鳍片21的延伸超过栅极20的部分中形成导电源极和漏极区。在此之后,本发明沿栅极导体20形成绝缘侧壁31,如图3C所示。在这里隔离物31和栅极20有时称作栅极结构。
然后,如图3A-3C所示,本发明用导电接触材料30(例如多晶硅)覆盖栅极导体20和半导体材料11。如图3B和3C中清楚所示,导电材料30完全覆盖鳍片结构11,而具有小于栅极20和隔离物31的高度。导电材料30不应该覆盖栅极20,否则栅极20可能短路到源极和漏极接触。可以选择性淀积导电材料30以不超过栅极20的高度,或者可以随后使用公知的蚀刻或过抛光工艺使导电材料30凹入栅极20的高度之下。
下一步,如图4A所示,本发明在两个较长鳍片21的第一个鳍片42的源极和漏极区之上的一部分导电接触材料30上形成接触掩模40。紧接着,本发明选择性蚀刻导电接触材料30和半导体材料11的未被接触掩模保护的区域。此蚀刻将不会影响栅极20或隔离物31。这只会在第一鳍片42的源极和漏极区上留下导电接触材料30,并会除去两个较长鳍片21的第二鳍片41的源极和漏极区。因此,接触掩模40通过构图源极和漏极接触和通过修整半导体材料11的多余部分,实现两个功能。通过以这种方式利用接触掩模,本发明避免了对分离修整掩模的需求。
图4B为沿图4A中的线A-A’的横截面图,图4C为沿图4A中的线B-B’的横截面图,而图4D为沿图4A中的线C-C’的横截面图。此外,图5A为示意性透视图,示出了与栅极20交叉的本发明的鳍片41、42,而图5B为图5A中所示结构的示意性顶部视图。这些附图显示出,所得的本发明的结构为独特的FinFET,它具有包括中心沟道区55和邻近沟道区55的源极和漏极区56的第一鳍片42。栅极20与第一鳍片42交叉,并覆盖沟道区55。第二鳍片41只具有沟道区。第二鳍片41平行于第一鳍片42,并被栅极结构覆盖。
在此独特结构中,第二鳍片41具有与栅极结构的宽度相等的长度而第一鳍片42长于第二鳍片。第一鳍片的源极和漏极区56延伸超过栅极结构;然而,第二鳍片41没有延伸超过栅极结构,这是因为当构图源极和漏极接触30时第二鳍片41的那部分被修整了。源极和漏极接触30只覆盖第一鳍片42的源极和漏极区56,而没有接触置于邻近第二鳍片41。
图6A为示意性顶部视图,示出了当利用修整掩模53时所需的间隔,而图6B为示意性顶部视图,示出了当避免使用修整掩模时利用本发明可以获得的间隔。如图6A所示,至少要产生一个单位间隔“Z”以容纳修整掩模53。在本实例中,在修整掩模53和邻近硅岛掩模RX(51)之间提供了半个单位(Z/2),并且修整掩模本身延伸超过与修整掩模53相关的硅岛掩模RX(50)的边缘半个单位(Z/2)。相反,如图6B所示,由于没有在本发明中使用修整掩模,所以邻近硅岛掩模51可以置于半导体环11的边缘的半个单位(Z/2)之内(或与邻近硅岛掩模50间距一个单位(Z))。由于RX尺寸减小,所以从接触区会获得更低的寄生电容。得到了具有更简单布图规则的更密集布图和减少的工艺成本。
图7为流程图,示出了本发明的优选方法。更具体地说,本方法在半导体层上构图矩形牺牲心轴700、沿心轴的垂直表面形成掩模侧壁702、除去心轴704、并蚀刻硬掩模未被侧壁保护的部分。在除去掩模侧壁之后,本发明蚀刻半导体层未被硬掩模保护的部分706,以留下具有两个较长鳍片和两个较短部分的半导体材料的独立矩形环。本发明在两个较长鳍片的中心部分上构图矩形栅极导体708。本发明掺杂半导体材料未被栅极导体覆盖的部分710,以在鳍片的延伸超过栅极的部分中形成源极和漏极区。接着,本发明沿栅极导体形成绝缘侧壁712,并用导电接触材料覆盖栅极导体和半导体材料。平面化或回蚀刻导电材料,直到暴露栅极导体。然后,本发明在两个较长鳍片的第一鳍片的源极和漏极区之上的一部分导电接触材料上形成接触掩模714,并选择性蚀刻导电接触材料和半导体材料未被接触掩模保护的区域716。选择性蚀刻工艺716在第一鳍片的源极和漏极区上留下导电接触材料,并除去两个较长鳍片的第二鳍片的源极和漏极区。
因此,如上所示,只在常规CMOS设计中添加了一个掩模即“FN”级掩模,此掩模用于限定在其周围形成隔离物的心轴10。在栅极光刻和处理(PC)之后使用常规硅岛掩模(RX),以同时限定栅极外部的源极/漏极区,和修整电路不需要的鳍片。这消除了“修整”掩模(TR)和相关的处理。因为RX到TR的二次对准(两级都正常对准到FN),这也消除了一些密度损失,并由此产生了更密集的设计。
由于RX尺寸减小,从接触区会获得更低的寄生电容。通过小RX尺寸获得更密集的布图,它又导致电路相居更近。这转向更短的互连和更低的布线电阻和电容。最终得到的是更低的成本、更低的功耗和更快的电路。
尽管依照优选实施例描述了本发明,但是本领域内的技术人员将认识到,在所附权利要求的精神和范围之内可以利用修改实践本发明。

Claims (29)

1.一种鳍片型场效应晶体管,包括:
第一鳍片,具有中心沟道区和邻近所述沟道区的源极和漏极区;
栅极结构,与所述第一鳍片交叉并覆盖所述沟道区;以及
第二鳍片,包括沟道区,所述第二鳍片平行于所述第一鳍片并被所述栅极结构覆盖,并且所述第二鳍片没有与任何源极或漏极区连接。
2.根据权利要求1的鳍片型场效应晶体管,其中所述第二鳍片具有与所述栅极结构的宽度相等的长度。
3.根据权利要求1的鳍片型场效应晶体管,其中所述第一鳍片长于所述第二鳍片。
4.根据权利要求1的鳍片型场效应晶体管,其中所述第一鳍片的所述源极和漏极区延伸超过所述栅极结构。
5.根据权利要求1的鳍片型场效应晶体管,其中所述第二鳍片没有延伸超过所述栅极结构。
6.根据权利要求1的鳍片型场效应晶体管,还包括覆盖所述第一鳍片的所述源极和漏极区的源极和漏极接触。
7.根据权利要求1的鳍片型场效应晶体管,其中邻近所述第二鳍片没有设置接触。
8.一种鳍片型场效应晶体管,包括:
第一鳍片,具有中心沟道区和邻近所述沟道区的源极和漏极区;以及
第二鳍片,包括沟道区,所述第二鳍片没有与任何源极或漏极区连接。
9.根据权利要求8的鳍片型场效应晶体管,其中所述第一鳍片长于所述第二鳍片。
10.根据权利要求8的鳍片型场效应晶体管,还包括与所述第一鳍片交叉并覆盖所述沟道区的栅极。
11.根据权利要求10的鳍片型场效应晶体管,其中所述第二鳍片具有与所述栅极的宽度相等的长度。
12.根据权利要求10的鳍片型场效应晶体管,其中所述第一鳍片的所述源极和漏极区延伸超过所述栅极。
13.根据权利要求10的鳍片型场效应晶体管,其中所述第二鳍片没有延伸超过所述栅极。
14.根据权利要求8的鳍片型场效应晶体管,还包括覆盖所述第一鳍片的所述源极和漏极区的源极和漏极接触。
15.根据权利要求8的鳍片型场效应晶体管,其中邻近所述第二鳍片没有设置接触。
16.一种制造鳍片型场效应晶体管的方法,所述方法包括以下步骤:
在覆盖半导体层的硬掩模上构图矩形牺牲心轴;
沿所述心轴的垂直表面形成侧壁;
除去所述心轴;
蚀刻所述硬掩模未被所述侧壁保护的部分;
除去所述侧壁;
蚀刻所述半导体层未被所述硬掩模保护的部分,以留下具有两个较长鳍片和两个较短部分的半导体材料的独立矩形环,其中所述较长鳍片与所述较短部分垂直;
在所述两个较长鳍片的中心部分上构图矩形栅极导体,其中所述栅极导体与所述两个较长鳍片交叉;
掺杂所述半导体材料未被所述栅极导体覆盖的部分,以在所述鳍片的延伸超过所述栅极的部分中形成源极和漏极区;
沿包括栅极结构的所述栅极导体形成绝缘侧壁;
用导电接触材料覆盖所述栅极导体和所述半导体材料;
在所述两个较长鳍片的第一鳍片的源极和漏极区上的一部分所述导电接触材料之上形成接触掩模;以及
选择性蚀刻所述导电接触材料和所述半导体材料未被所述接触掩模保护的区域,
其中所述选择性蚀刻工艺在所述第一鳍片的源极和漏极区上留下所述导电接触材料,以及
其中所述选择性蚀刻工艺除去所述两个较长鳍片的第二鳍片的源极和漏极区。
17.根据权利要求16的方法,其中在所述选择性蚀刻工艺之后,所述第一鳍片长于所述第二鳍片。
18.根据权利要求16的方法,其中在所述选择性蚀刻工艺之后,所述第二鳍片具有与包括栅极结构的所述栅极导体的宽度相等的长度。
19.根据权利要求16的方法,其中在所述选择性蚀刻工艺之后,所述第一鳍片的所述源极和漏极区延伸超过所述栅极结构。
20.根据权利要求16的方法,其中在所述选择性蚀刻工艺之后,所述第二鳍片没有延伸超过所述栅极结构。
21.根据权利要求16的方法,其中所述选择性蚀刻工艺形成覆盖所述第一鳍片的所述源极和漏极区的源极和漏极接触。
22.根据权利要求16的方法,其中在所述选择性蚀刻工艺之后,没有设置接触邻近所述第二鳍片。
23.一种制造鳍片型场效应晶体管的方法,所述方法包括以下步骤:
在半导体材料上形成至少两个平行鳍片;
在所述鳍片的中心部分上构图栅极导体,其中所述栅极导体与所述鳍片交叉;
用导电接触材料覆盖所述栅极导体和所述半导体材料;
在所述鳍片的第一鳍片的源极和漏极区上的一部分所述导电接触材料之上形成接触掩模;以及
选择性蚀刻所述导电接触材料和所述半导体材料未被所述接触掩模保护的区域,
其中所述选择性蚀刻工艺在所述第一鳍片的源极和漏极区上留下所述导电接触材料,以及
其中所述选择性蚀刻工艺除去所述鳍片的第二鳍片的源极和漏极区。
24.根据权利要求23的方法,其中在所述选择性蚀刻工艺之后,所述第一鳍片长于所述第二鳍片。
25.根据权利要求23的方法,其中在所述选择性蚀刻工艺之后,所述第二鳍片具有与所述栅极导体的宽度相等的长度。
26.根据权利要求23的方法,其中在所述选择性蚀刻工艺之后,所述第一鳍片的所述源极和漏极区延伸超过所述栅极导体。
27.根据权利要求23的方法,其中在所述选择性蚀刻工艺之后,所述第二鳍片没有延伸超过所述栅极导体。
28.根据权利要求23的方法,其中所述选择性蚀刻工艺形成覆盖所述第一鳍片的所述源极和漏极区的源极和漏极接触。
29.根据权利要求23的方法,其中在所述选择性蚀刻工艺之后,没有设置接触邻近所述第二鳍片。
CNB2004800241873A 2003-06-25 2004-06-25 高密度finfet集成方案 Active CN100492666C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/604,077 2003-06-25
US10/604,077 US6894326B2 (en) 2003-06-25 2003-06-25 High-density finFET integration scheme

Publications (2)

Publication Number Publication Date
CN1839483A CN1839483A (zh) 2006-09-27
CN100492666C true CN100492666C (zh) 2009-05-27

Family

ID=33539877

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2004800241873A Active CN100492666C (zh) 2003-06-25 2004-06-25 高密度finfet集成方案

Country Status (7)

Country Link
US (2) US6894326B2 (zh)
EP (1) EP1644988B1 (zh)
KR (1) KR100734997B1 (zh)
CN (1) CN100492666C (zh)
AT (1) ATE461526T1 (zh)
DE (1) DE602004026063D1 (zh)
WO (1) WO2005001905A2 (zh)

Families Citing this family (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7253650B2 (en) 2004-05-25 2007-08-07 International Business Machines Corporation Increase productivity at wafer test using probe retest data analysis
US7183142B2 (en) * 2005-01-13 2007-02-27 International Business Machines Corporation FinFETs with long gate length at high density
US7316148B2 (en) * 2005-02-15 2008-01-08 Boston Scientific Scimed, Inc. Protective sheet loader
US7265013B2 (en) * 2005-09-19 2007-09-04 International Business Machines Corporation Sidewall image transfer (SIT) technologies
CN100449783C (zh) * 2005-11-29 2009-01-07 台湾积体电路制造股份有限公司 具有体接触窗的鳍状场效应晶体管及其制造方法
US7754560B2 (en) * 2006-01-10 2010-07-13 Freescale Semiconductor, Inc. Integrated circuit using FinFETs and having a static random access memory (SRAM)
US7723805B2 (en) * 2006-01-10 2010-05-25 Freescale Semiconductor, Inc. Electronic device including a fin-type transistor structure and a process for forming the electronic device
US7709303B2 (en) * 2006-01-10 2010-05-04 Freescale Semiconductor, Inc. Process for forming an electronic device including a fin-type structure
US7301210B2 (en) * 2006-01-12 2007-11-27 International Business Machines Corporation Method and structure to process thick and thin fins and variable fin to fin spacing
US7560798B2 (en) * 2006-02-27 2009-07-14 International Business Machines Corporation High performance tapered varactor
KR100838378B1 (ko) * 2006-09-29 2008-06-13 주식회사 하이닉스반도체 핀트랜지스터의 제조 방법
JP5525127B2 (ja) * 2007-11-12 2014-06-18 ピーエスフォー ルクスコ エスエイアールエル 半導体装置及びその製造方法
US7927938B2 (en) 2007-11-19 2011-04-19 Micron Technology, Inc. Fin-JFET
US7829951B2 (en) * 2008-11-06 2010-11-09 Qualcomm Incorporated Method of fabricating a fin field effect transistor (FinFET) device
EA026275B1 (ru) * 2008-11-25 2017-03-31 Джастин В. Пэйдж Сварочный аппарат для сварки труб, способ сварки труб и зажимной узел трубы для сварочного аппарата
US8633076B2 (en) * 2010-11-23 2014-01-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method for adjusting fin width in integrated circuitry
US8513131B2 (en) 2011-03-17 2013-08-20 International Business Machines Corporation Fin field effect transistor with variable channel thickness for threshold voltage tuning
US8586482B2 (en) 2011-06-29 2013-11-19 International Business Machines Corporation Film stack including metal hardmask layer for sidewall image transfer fin field effect transistor formation
US8580692B2 (en) 2011-06-29 2013-11-12 International Business Machines Corporation Film stack including metal hardmask layer for sidewall image transfer fin field effect transistor formation
US8637930B2 (en) * 2011-10-13 2014-01-28 International Business Machines Company FinFET parasitic capacitance reduction using air gap
US8569125B2 (en) * 2011-11-30 2013-10-29 International Business Machines Corporation FinFET with improved gate planarity
US8569152B1 (en) 2012-06-04 2013-10-29 International Business Machines Corporation Cut-very-last dual-epi flow
US8697515B2 (en) 2012-06-06 2014-04-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making a FinFET device
US8617961B1 (en) * 2012-07-18 2013-12-31 International Business Machines Corporation Post-gate isolation area formation for fin field effect transistor device
US8741701B2 (en) 2012-08-14 2014-06-03 International Business Machines Corporation Fin structure formation including partial spacer removal
US9142548B2 (en) 2012-09-04 2015-09-22 Qualcomm Incorporated FinFET compatible capacitor circuit
US20140167162A1 (en) 2012-12-13 2014-06-19 International Business Machines Corporation Finfet with merge-free fins
US8813016B1 (en) * 2013-01-28 2014-08-19 Taiwan Semiconductor Manufacturing Company Limited Multiple via connections using connectivity rings
US9190419B2 (en) 2013-02-07 2015-11-17 International Business Machines Corporation Diode structure and method for FINFET technologies
US8927397B2 (en) 2013-02-07 2015-01-06 International Business Machines Corporation Diode structure and method for gate all around silicon nanowire technologies
US9006087B2 (en) 2013-02-07 2015-04-14 International Business Machines Corporation Diode structure and method for wire-last nanomesh technologies
US9704880B2 (en) * 2013-11-06 2017-07-11 Taiwan Semiconductor Manufacturing Company Limited Systems and methods for a semiconductor structure having multiple semiconductor-device layers
US9224654B2 (en) 2013-11-25 2015-12-29 International Business Machines Corporation Fin capacitor employing sidewall image transfer
US9633906B2 (en) 2014-01-24 2017-04-25 International Business Machines Corporation Gate structure cut after formation of epitaxial active regions
US9252243B2 (en) 2014-02-07 2016-02-02 International Business Machines Corporation Gate structure integration scheme for fin field effect transistors
US10475886B2 (en) 2014-12-16 2019-11-12 International Business Machines Corporation Modified fin cut after epitaxial growth
US9748364B2 (en) * 2015-04-21 2017-08-29 Varian Semiconductor Equipment Associates, Inc. Method for fabricating three dimensional device
US10381465B2 (en) 2015-04-21 2019-08-13 Varian Semiconductor Equipment Associates, Inc. Method for fabricating asymmetrical three dimensional device
EP3136446A1 (en) * 2015-08-28 2017-03-01 Nederlandse Organisatie voor toegepast- natuurwetenschappelijk onderzoek TNO Tft device and manufacturing method
US9768272B2 (en) 2015-09-30 2017-09-19 International Business Machines Corporation Replacement gate FinFET process using a sit process to define source/drain regions, gate spacers and a gate cavity
US9530890B1 (en) 2015-11-02 2016-12-27 International Business Machines Corporation Parasitic capacitance reduction
US9966338B1 (en) * 2017-04-18 2018-05-08 Globalfoundries Inc. Pre-spacer self-aligned cut formation
US10276718B2 (en) 2017-08-31 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET having a relaxation prevention anchor

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0214578A (ja) * 1988-07-01 1990-01-18 Fujitsu Ltd 半導体装置
US5391506A (en) * 1992-01-31 1995-02-21 Kawasaki Steel Corporation Manufacturing method for semiconductor devices with source/drain formed in substrate projection.
JPH09293793A (ja) * 1996-04-26 1997-11-11 Mitsubishi Electric Corp 薄膜トランジスタを有する半導体装置およびその製造方法
FR2799305B1 (fr) * 1999-10-05 2004-06-18 St Microelectronics Sa Procede de fabrication d'un dispositif semi-conducteur a grille enveloppante et dispositif obtenu
US6355532B1 (en) * 1999-10-06 2002-03-12 Lsi Logic Corporation Subtractive oxidation method of fabricating a short-length and vertically-oriented channel, dual-gate, CMOS FET
US6252284B1 (en) * 1999-12-09 2001-06-26 International Business Machines Corporation Planarized silicon fin device
US6483156B1 (en) * 2000-03-16 2002-11-19 International Business Machines Corporation Double planar gated SOI MOSFET structure
US20020062170A1 (en) * 2000-06-28 2002-05-23 Skunes Timothy A. Automated opto-electronic assembly machine and method
JP4044276B2 (ja) * 2000-09-28 2008-02-06 株式会社東芝 半導体装置及びその製造方法
US7163864B1 (en) * 2000-10-18 2007-01-16 International Business Machines Corporation Method of fabricating semiconductor side wall fin
US6413802B1 (en) * 2000-10-23 2002-07-02 The Regents Of The University Of California Finfet transistor structures having a double gate channel extending vertically from a substrate and methods of manufacture
US6580150B1 (en) * 2000-11-13 2003-06-17 Vram Technologies, Llc Vertical junction field effect semiconductor diodes
US6475869B1 (en) * 2001-02-26 2002-11-05 Advanced Micro Devices, Inc. Method of forming a double gate transistor having an epitaxial silicon/germanium channel region
US6458662B1 (en) * 2001-04-04 2002-10-01 Advanced Micro Devices, Inc. Method of fabricating a semiconductor device having an asymmetrical dual-gate silicon-germanium (SiGe) channel MOSFET and a device thereby formed
US6492212B1 (en) * 2001-10-05 2002-12-10 International Business Machines Corporation Variable threshold voltage double gated transistors and method of fabrication
US6433609B1 (en) * 2001-11-19 2002-08-13 International Business Machines Corporation Double-gate low power SOI active clamp network for single power supply and multiple power supply applications
US6657252B2 (en) * 2002-03-19 2003-12-02 International Business Machines Corporation FinFET CMOS with NVRAM capability
US6635909B2 (en) * 2002-03-19 2003-10-21 International Business Machines Corporation Strained fin FETs structure and method
US6995412B2 (en) * 2002-04-12 2006-02-07 International Business Machines Corporation Integrated circuit with capacitors having a fin structure
US6664582B2 (en) * 2002-04-12 2003-12-16 International Business Machines Corporation Fin memory cell and method of fabrication
US6833556B2 (en) * 2002-08-12 2004-12-21 Acorn Technologies, Inc. Insulated gate field effect transistor having passivated schottky barriers to the channel
US6770516B2 (en) * 2002-09-05 2004-08-03 Taiwan Semiconductor Manufacturing Company Method of forming an N channel and P channel FINFET device on the same semiconductor substrate
US6706571B1 (en) * 2002-10-22 2004-03-16 Advanced Micro Devices, Inc. Method for forming multiple structures in a semiconductor device
US7214991B2 (en) * 2002-12-06 2007-05-08 Taiwan Semiconductor Manufacturing Co., Ltd. CMOS inverters configured using multiple-gate transistors
US6909147B2 (en) * 2003-05-05 2005-06-21 International Business Machines Corporation Multi-height FinFETS

Also Published As

Publication number Publication date
KR20060009015A (ko) 2006-01-27
US6894326B2 (en) 2005-05-17
ATE461526T1 (de) 2010-04-15
EP1644988A4 (en) 2007-04-11
WO2005001905A2 (en) 2005-01-06
US6987289B2 (en) 2006-01-17
WO2005001905A3 (en) 2006-02-23
US20050082578A1 (en) 2005-04-21
KR100734997B1 (ko) 2007-07-04
US20040262698A1 (en) 2004-12-30
CN1839483A (zh) 2006-09-27
EP1644988A2 (en) 2006-04-12
DE602004026063D1 (de) 2010-04-29
EP1644988B1 (en) 2010-03-17

Similar Documents

Publication Publication Date Title
CN100492666C (zh) 高密度finfet集成方案
US6888199B2 (en) High-density split-gate FinFET
KR101070845B1 (ko) FinFET 디바이스 구조의 형성 방법
US6194301B1 (en) Method of fabricating an integrated circuit of logic and memory using damascene gate structure
CN107180870B (zh) 半导体器件
EP1639648A4 (en) INTEGRATED CIRCUIT WITH PAIRS OF COMPLETE PARALLEL FINFET TRANSISTORS
JP2007329489A (ja) 集積回路装置およびその製造方法
US20060065922A1 (en) Semiconductor memory with vertical charge-trapping memory cells and fabrication
US20180151371A1 (en) Semiconductor device and fabrication method thereof
JP2004088100A (ja) 垂直デバイス・アレイおよび境界付きビット線コンタクトを有する組込みdramの構造およびdramを作成する方法
CN108010967A (zh) 制造具有改进的漏极中的金属落置的esd finfet的系统和方法
CN100459162C (zh) 鳍型场效应晶体管及其制造方法
KR100328332B1 (ko) 반도체 장치 및 그 제조방법
JP3474692B2 (ja) 半導体装置及びその製造方法
US20220077315A1 (en) Transistor structure with metal interconnection directly connecting gate and drain/source regions
JPH11150265A (ja) 半導体装置
US6077763A (en) Process for fabricating a self-aligned contact
KR20230032984A (ko) 표준 셀 구조
TWI834355B (zh) 具有直接連接到閘極、汲極和源極的金屬互連的電晶體結構
US20060145259A1 (en) Fin field-effect transistor and method for fabricating the same
TW202318571A (zh) 具有直接連接到閘極、汲極和源極的金屬互連的電晶體結構
KR100267773B1 (ko) 반도체 소자 제조방법
KR100596445B1 (ko) 매립된 게이트를 갖는 모스트랜지스터 제조방법
JP2701828B2 (ja) 半導体装置及びその製造方法
US7026216B2 (en) Method for fabricating nitride read-only memory

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
TR01 Transfer of patent right

Effective date of registration: 20171103

Address after: Grand Cayman, Cayman Islands

Patentee after: GLOBALFOUNDRIES INC.

Address before: American New York

Patentee before: Core USA second LLC

Effective date of registration: 20171103

Address after: American New York

Patentee after: Core USA second LLC

Address before: American New York

Patentee before: International Business Machines Corp.

TR01 Transfer of patent right