CN100481378C - 层叠体及半导体装置 - Google Patents

层叠体及半导体装置 Download PDF

Info

Publication number
CN100481378C
CN100481378C CNB2005800163686A CN200580016368A CN100481378C CN 100481378 C CN100481378 C CN 100481378C CN B2005800163686 A CNB2005800163686 A CN B2005800163686A CN 200580016368 A CN200580016368 A CN 200580016368A CN 100481378 C CN100481378 C CN 100481378C
Authority
CN
China
Prior art keywords
group
formula
film
organic
expression
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CNB2005800163686A
Other languages
English (en)
Other versions
CN1957459A (zh
Inventor
白土香织
盐田淳
多田昌弘
朝隈纯俊
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
JSR Corp
Sumitomo Bakelite Co Ltd
Original Assignee
JSR Corp
Sumitomo Bakelite Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by JSR Corp, Sumitomo Bakelite Co Ltd filed Critical JSR Corp
Publication of CN1957459A publication Critical patent/CN1957459A/zh
Application granted granted Critical
Publication of CN100481378C publication Critical patent/CN100481378C/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G73/00Macromolecular compounds obtained by reactions forming a linkage containing nitrogen with or without oxygen or carbon in the main chain of the macromolecule, not provided for in groups C08G12/00 - C08G71/00
    • C08G73/06Polycondensates having nitrogen-containing heterocyclic rings in the main chain of the macromolecule
    • C08G73/22Polybenzoxazoles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76835Combinations of two or more different dielectric layers having a low dielectric constant
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/101Forming openings in dielectrics
    • H01L2221/1015Forming openings in dielectrics for dual damascene structures
    • H01L2221/1036Dual damascene with different via-level and trench-level dielectrics
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/31678Of metal

Landscapes

  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Medicinal Chemistry (AREA)
  • Polymers & Plastics (AREA)
  • Organic Chemistry (AREA)
  • Macromolecular Compounds Obtained By Forming Nitrogen-Containing Linkages In General (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Laminated Bodies (AREA)

Abstract

本发明的层叠体,含有在半导体层上方设置的、具有规定图案的铜配线层(20),在上述铜配线层(20)上设置的、含有聚苯并噁唑树脂层的保护层,在上述保护层上设置的绝缘层(40)。

Description

层叠体及半导体装置
技术领域
本发明涉及在制造半导体装置工序中形成配线层时可以优选使用的绝缘层的层叠体,特别是涉及在形成具有双大马士革(dual damascene)构造的配线层中可以优选使用的层叠体。
背景技术
近年来,伴随着半导体装置的微细化,为了连接在不同级别的层上所形成的配线,而使用多层配线的技术。作为这样的多层配线的技术,可以举出以往具有如下构造的一例,即,具有在第1绝缘层上设置的第1配线层、覆盖该第1配线层且在配线层上具有连接孔的层间绝缘层、和设置于连接孔上的第2配线层。此时,在连接孔上设有作为导电层的连接层,将第1配线层和第2配线层电连接。作为这样的连接层的导电材料可以使用铜。由于铜可以降低RC延迟、提高高速工作性,因而是理想的。但是,具有优势的另一方面,由于铜是容易向层间绝缘层等各种绝缘层扩散的材料,因而在使用铜形成配线时,为了防止扩散,在铜的上面形成隔离层。作为隔离层的材料,可以使用氮化硅膜。但是,由于氮化硅膜的相对介电常数高,虽然可以降低铜扩散,却会引起配线延迟。另外,作为隔离层使用的其他材料,可以举出将聚碳硅烷化合物硬化而得到的聚碳硅烷膜(参照美国专利第5,602,060号说明书)。在美国专利第5,602,060号说明书中记载的聚碳硅烷膜,是在基材上涂布膜形成用组合物之后,在氧化环境下进行加热处理。
发明内容
但是,在上述方法中,很难控制膜的厚度,另外还希望在热稳定性方面进一步提高。
本发明的目的是提供层叠体,它是在包含含有铜的导电层的配线层上设置有绝缘层的层叠体,可以抑制铜向与配线层相连接的绝缘层扩散。
本发明另外的目的是提供半导体装置,其包含用双大马士革法形成在上述层叠体的绝缘层上的配线层。
本发明的层叠体含有:设置在半导体层的上方、具有规定的图案的铜配线层,在上述铜配线层上设置的保护层聚苯并噁唑树脂层,在上述保护层上设置的绝缘层;其中,在上述绝缘层上形成有用双大马士革法形成的配线层。在此,在本发明中,所谓的铜配线层是指含有包含铜的材质的配线层。
本发明的层叠体可以采用下述形态。
在本发明的层叠体中,上述绝缘层可以是二氧化硅系膜或有机系膜。
在本发明的层叠体中,上述绝缘层可以层叠有二氧化硅系膜和有机系膜。
在本发明的层叠体中,上述绝缘层可以顺次层叠有第1有机系膜、二氧化硅系膜、第2有机系膜。
在本发明的层叠体中,上述绝缘层可以顺次层叠有第1二氧化硅系膜、有机系膜、第2二氧化硅系膜。
在本发明的层叠体中,可以进一步含有在上述绝缘层上设置的硬掩蔽层。
在本发明的层叠体中,上述聚苯并噁唑树脂层可以是由具有下述通式(1)表示的重复单元的树脂构成的。
Figure C200580016368D00121
(式(1)中,X表示选自式(2)表示的基团中的至少一种基团,Y表示选自式(3)表示的基团中的至少一种基团。n表示1~10000的整数)。
Figure C200580016368D00131
(在式(2)和(3)中,X1表示选自式(4)表示的基团中的基团)
Figure C200580016368D00141
(在化学式(2)、(3)和(4)中表示的基团的苯环上的氢原子,可以用交联基团取代,或者可以是用有机基团取代,所述有机基团是选自脂肪族基团和芳香族基团中的至少1种的有机基团,该有机基团可以具有交联基团。)
在本发明的层叠体中,上述聚苯并噁唑树脂层,可以是由具有后述基团作为通式(1)表示的重复单元中的Y的树脂而构成的,所述基团是选自将通式(3)表示的基团的苯环上的氢原子用乙炔基或苯乙炔基取代而得的基团中的至少1种基团。
在本发明的层叠体中,上述聚苯并噁唑树脂层,可以是由具有后述基团作为通式(1)表示的重复单元中的Y的树脂来构成的,所述基团是选自通式(5)或(6)表示的基团中的至少1种基团。
Figure C200580016368D00151
Figure C200580016368D00161
在本发明的层叠体中,上述有机系膜可以是具有3以下的相对介电常数的物质。
在本发明的层叠体中,上述有机系膜可以是由选自聚苯并噁唑、聚芳撑、聚芳撑醚、聚酰亚胺、聚酰胺中的至少1种树脂构成的膜。
在本发明的层叠体中,上述二氧化硅系膜可以是如下的膜:将选自下述通式(7)~010)表示的化合物中的至少1种化合物水解、缩合而得到的水解缩合物进行加热而成的膜。
HSi(OR1)3  ……(7)
(式中,R1表示1价的有机基团。)
RaSi(OR2)4-a  ……(8)
(式中,R表示氟原子或1价的有机基团、R2表式1价的有机基团、a表示1~2的整数。)
Si(OR3)4  ……(9)
(式中,R3表示1价的有机基团。)
R4 b(R5O)3-bsi-(R8)4-Si(OR6)3-cR7 c    (10)
(式中,R4~R7相同或不同,分别表示1价的有机基团;b和c相同或不同,表示0~2的整数;R8表示氧原子、亚苯基或—(CH2)n表示的基团(在此,n是1~6的整数);d表示0或1。)
在本发明的层叠体中,上述硬掩蔽层可以是含有二氧化硅系膜或有机系膜中的至少一者的层。
本发明的层叠体含有至少覆盖铜配线层的上面、含有聚苯并噁唑树脂层的保护层,和在其上设置的绝缘层。聚苯并噁唑树脂层由于是低相对介电常数、且高密度及高玻璃化温度,因而可以抑制构成导电层的材料铜等金属的扩散。因此,通过本发明的层叠体,可以降低铜向绝缘层的扩散,提供具有高可靠性的绝缘膜的层叠体。
本发明的半导体装置,具有在上述的层叠体的上述绝缘层上用双大马士革法形成的配线层。
附图说明
图1:表示第1例所述的层叠体的模式截面图。
图2:表示第2例所述的层叠体的模式截面图。
图3:表示第3例所述的层叠体的模式截面图。
图4:表示本实施方式所述的半导体装置的模式截面图。
具体实施方式
1.层叠体
以下,参照图1~3对本发明进行详细说明。本发明的层叠体是在用大马士革法于铜配线层上形成用于连接该铜配线层的配线层的情况下可以很好地使用的层叠体。在以下的说明中,先说明层叠体的结构,然后,说明构成层叠体的层。
(第1例)
参照图1,对第1例所述的层叠体进行说明。图1是表示第1例所述的层叠体的模式截面图。
如图1所示,在第1绝缘层10中,设有由含有含有铜的材质的导电层构成的配线层20,在配线层20上设有保护层30。在保护层30之上,设有单层的第2绝缘层40,在第2绝缘层40之上,根据需要设有硬掩蔽层50。作为保护层30,可以使用聚苯并噁唑树脂层。对该聚苯并噁唑树脂层的详细描述见后述。另外,作为第2绝缘层40,可以使用二氧化硅系膜或有机系膜。
(第2例)
参照图2,对第2例所述的层叠体进行说明。图2是表示第2例所述的层叠体的模式截面图。第2例的层叠体,是第2绝缘层40的构成与第1例相比不同的例子。在以下的说明中,说明与第1例的层叠体相比不同的点。
如图2所示,在第1绝缘层的10中,设有由含有含有铜的材质的导电层构成的配线层20,在配线层20上顺次设有保护层30和第2绝缘层40,及根据需要设有的硬掩蔽层50。在第2例的层叠体中,第2绝缘层40是由材质不同的多个层形成的。在图2中表示:第2绝缘层40是作为二氧化硅系膜的绝缘层40a和作为有机系膜的绝缘层40b层叠而形成的情况。在此,在图2中,将与配线层20连接侧的绝缘层40a是二氧化硅系膜的情况作为例子,但不限于此,也可以绝缘层40a是有机系膜,而绝缘层40b是二氧化硅系膜。
(第3例)
参照图3,对第3例所述的层叠体进行说明。图3是表示第3例所述的层叠体的模式截面图。第3例的层叠体,是第2绝缘层40的构成与第1例相比不同的例子。在以下的说明中,说明与第1例的层叠体相比不同的点。
如图3所示,在第1绝缘层10中,设有由含有含有铜的材质的导电层构成的配线层20,在配线层20上顺次设有保护层30和第2绝缘层40,及根据需要设有的硬掩蔽层50。在第3例的层叠体中,与第2例同样,第2绝缘层40是由多个层形成的。在图3中,第2绝缘层40是,绝缘层40a、绝缘层40b、绝缘层40c顺次层叠而成。绝缘层40a、c是二氧化硅系膜(或有机系膜),绝缘层40b是有机系膜(或二氧化硅系膜)。
通过第1~3的例子的层叠体,至少在配线层20的上面设有含有聚苯并噁唑树脂层的保护层30。聚苯并噁唑树脂层具有低相对介电常数、且高密度和高玻璃化温度的性质。因此,可以防止构成配线层20的材质扩散到第2绝缘层40中。结果是,在将本实施方式中所述的层叠体适用于半导体装置的制造中时,可以提供配线层间充分绝缘、可靠性高的半导体装置。
2.关于构成层叠体的各种层
下面,就构成第1~3例所述的层叠体的各种层进行说明。
2.1 配线层
配线层20具有规定的图案,其材质可以举出公知的导电材料。配线层20是含有铜的物质,但没有必要是由单一的金属层形成的,可以是采用与金属的氮化物及氧化物层等的层叠结构。例如,例如可以例示有氮化钛层、氮化钽层、铝、铝的合金层、铜、铜的合金层等。配线层是在导电层或绝缘层上形成的。
2.2 保护层
保护层30是用于下述功能的物质:防止构成配线层20的金属扩散到在其上方形成的绝缘层(未图示)中。作为保护层30,可以使用聚苯并噁唑树脂层。
2.2.1 聚苯并噁唑树脂层
本发明中的聚苯并噁唑树脂层,在聚苯并噁唑树脂中优选由具有下述通式(1)表示的重复单元的聚苯并噁唑树脂构成的物质。
Figure C200580016368D00201
(在式(1)中,X表示选自式(2)表示的基团中的至少一种基团,Y表示选自式(3)表示的基团中的至少一种基团。n表示1~10000的整数。)
Figure C200580016368D00202
Figure C200580016368D00211
(在式(2)和式(3)中,X1表示选自式(4)表示的基团中的基团。)
Figure C200580016368D00221
在这些式(2)、式(3)和式(4)中表示的基团的苯环上的氢原子,可以用交联基团取代,或者可以是用有机基团取代,所述有机基团是选自脂肪族基团和芳香族基团中的至少1种的有机基团,该有机基具有交联基团。上述脂肪族基团可以举出甲基、乙基、丙基、异丙基、丁基、异丁基、叔丁基、三甲基硅烷基、三乙基甲硅烷基、金刚烷基和环己基等,上述芳香族基团可以举出苯基等,上述交联基可以举出马来酸酐缩亚胺、桥亚甲基四氢化邻苯二甲酸酐缩亚胺、乙烯基和环戊二烯基等具有碳—碳双键的基团,乙炔基、苯乙炔基、萘乙炔基、蒽基乙炔基、喹啉基乙炔基、喹喔啉基乙炔基、烷基乙炔基和炔丙基醚基等具有碳—碳三键的基团,亚联苯基、氰酰基等。其中,优选乙炔基和苯乙炔基等具有碳—碳三键的基团。由此,可以提高得到的聚苯并噁唑树脂的耐热性。
进而,在本发明中的聚苯并噁唑树脂层,可以是由具有后述基团作为通式(1)表示的重复单元中的Y的树脂来构成的,所述基团是选自将通式(3)表示的苯环上的氢原子用乙炔基或苯乙炔基取代而得的基团中的至少1种基团。
另外,在本发明中的上述聚苯并噁唑树脂层,可以是由具有后述基团作为通式(1)表示的重复单元中的Y的树脂来构成的,所述基团是选自通式(5)或(6)表示的基团中的至少1种基团。
Figure C200580016368D00231
Figure C200580016368D00241
2.2.2 聚苯并噁唑
在本发明中使用的聚苯并噁唑,可以用酰氯法、活性化酯法或聚磷酸或二环己碳二酰亚胺等脱水缩合剂存在的条件下的缩合反应等的方法,使二氨基苯酚化合物和二羧酸进行反应来合成。
具有通式(1)表示的重复单元的聚苯噁唑,可以通过下述物质的反应而得到,即,形成通式(1)中用X表示的构造的、具有选自式(2)表示的基团中的1种基团的二氨基苯酚化合物,和形成通式(1)中用Y表示的构造的、具有选自式(4)表示的基团中的1种基团的二羧酸。
首先,说明用于合成具有通式(1)表示的重复单元的聚苯并噁唑的二苯酚化合物和二羧酸化合物。
2.2.2.1.二氨基苯酚化合物
上述二氨基苯酚化合物,可以例举出:2,4—二氨基—间苯二酚和2,5—二氨基—1,4—二羟基苯等的具有二羟基苯的化合物;3,3’—二氨基—4,4’—二羟基—二苯和3,3’—二羟基—4,4’—二氨基—二苯等具有二羟基—二苯的二氨基苯酚化合物;3,3’—二氨基—4,4’—二羟基—二苯醚等的具有二羟基—二苯醚的二氨基苯酚化合物;9,9—二(3—氨基—4—羟基—苯基)芴和9,9—二(4—(4—氨基—3—羟基)—苯氧基—苯基)芴等的具有芴骨架的化合物;2,2’—双—(4—氨基—3—羟基—苯氧基)—1,1’—二萘等具有二萘骨架的化合物;3,3’—二氨基—4,4’—二羟基—二苯砜、二(4—(4—氨基—3—羟基)—苯氧基—苯基)砜和二(4—(4—羟基—3—氨基)苯氧基—苯基)砜等的具有砜基的化合物;2,2’—二(3—氨基—4—羟苯基)六氟丙烷等的具有氟或氟化烷基的化合物,它们可以单独使用,也可以2种以上组合使用。
其中,优选选自具有二羟基苯的化合物、具有芴骨架的化合物、具有二萘骨架的化合物中的1种以上的二氨基苯酚化合物。由此,可以提高聚苯并噁唑树脂的耐热性。
另外,作为具有交联基的二氨基苯酚化合物,可以例举出:2,2’—二(3—羟基—(—4—氨基苯氧基)—6,6’—二乙炔基—1,1’二萘和2,2’—二(3—羟基—4—氨基苯氧基)—6,6’—二苯乙炔基—1,1’二萘等具有乙炔基(苯乙炔基)—二萘的二氨基苯酚化合物;1,5—二(3—羟基—4—氨基苯氧基)—2,6—二—乙炔基—萘、1,5—二—(3—羟基—4—氨基苯氧基)—2,6—二—苯乙炔基—萘、1,5—二(3—羟基—4—氨基苯氧基)—2—苯乙炔基萘和1,5—二—(3—羟基—4—氨基苯氧基)—3—苯乙炔基萘等具有乙炔基(苯乙炔基)—萘的二氨基苯酚化合物;9,9—二—4—(3—羟基—4—氨基苯氧基)苯基—2,7—二—乙炔基—芴、9,9—二—4—(3—羟基—4—氨基苯氧基)苯基—2,7—二—苯乙炔基—芴、9,9—二(3—氨基—4—羟基—苯基)—2,7—二—乙炔基—芴和9,9—二(3—氨基—4—羟基—苯基)—2,7—二—苯乙炔基—芴等具有乙炔基(苯乙炔基)—芴的二氨基苯酚化合物;1,3—二—(3—羟基—4—氨基苯氧基)—4—乙炔基—苯、1,3—二—(3—羟基—4—氨基苯氧基)—4—苯乙炔基—苯、1,4—二—(3—羟基—4—氨基苯氧基)—3—乙炔基—苯和1,4—二—(3—羟基—4—氨基苯氧基)—3—苯乙炔基—苯等具有乙炔基(苯乙炔基)—苯的二氨基苯酚化合物;3,3’二氨基—4,4’—二羟基—2—苯乙炔基—二苯醚、3,3’二氨基—4,4’—二羟基—5—苯乙炔基—二苯醚及3,3’二氨基—4,4’—二羟基—6—苯乙炔基—二苯醚等具有乙炔基(苯乙炔基)—二苯醚的二氨基苯酚化合物;3,3’—二氨基—4,4’—二羟基—2—苯乙炔基—二苯、3,3’—二氨基—4,4’—二羟基—5—苯乙炔基—二苯和3,3’—二氨基—4,4’—二羟基—6—苯乙炔基—二苯等具有乙炔基(苯乙炔基)—二苯的二氨基苯酚化合物;3,3’—二氨基—4,4’—二羟基—6,6’—二苯乙炔基—二苯砜、3,3’—二羟基—4,4’—二氨基—6,6’—二苯乙炔基—二苯砜和3,3’—二氨基—4,4’—二羟基—2,2’—二苯乙炔基—二苯砜等具有乙炔基(苯乙炔基)—二苯砜的二氨基苯酚化合物;2,2—二—(3—氨基—4—羟基—6—乙炔基—苯基)—丙烷、2,2—二—(3—氨基—4—羟基—6—苯乙炔基—苯基)—丙烷、2,2—二—(3—羟基—4—氨基—6—苯乙炔基—苯基)—丙烷和2,2—二—(3—氨基—4—羟基—2—苯乙炔基—苯基)—丙烷等具有乙炔基(苯乙炔基)—苯基—丙烷的二氨基苯酚化合物;2,2—二—(3—氨基—4—羟基—6—乙炔基—苯基)—六氟丙烷、2,2—二—(3—氨基—4—羟基—6—苯乙炔基—苯基)—六氟丙烷、2,2—二—(3—羟基—4—氨基—6—苯乙炔基—苯基)—六氟丙烷和2,2—二—(3—氨基—4—羟基—2—苯乙炔基—苯基)—六氟丙烷等具有乙炔基(苯乙炔基)—苯基—六氟丙烷的二氨基苯酚化合物等。它们可以单独使用,也可以2种以上组合使用。
其中,优选选自具有乙炔基(苯乙炔基)—萘的二氨基苯酚化合物和具有乙炔基(苯乙炔基)—芴的二氨基苯酚化合物中的1种以上的具有交联基团的二氨基苯酚化合物。由此,通过加热聚苯并噁唑树脂发生三维网状的交联反应,因而可以进一步提高聚苯并噁唑树脂的耐热性。
2.2.2.2.二羧酸
作为上述的二羧酸,可以例举出:异苯二甲酸、对苯二甲酸、2—氟异苯二甲酸和2,3,5,6—四氟对苯二甲酸等苯二甲酸;4,4’—二苯二羧酸、3,4’—二苯二羧酸、3,3’—二苯二羧酸、4,4’—二(4—羧基苯氧基)二苯基和4,4’—二(3—羧基苯氧基)二苯基等具有二苯骨架的二羧酸;1,4—萘二羧酸、2,3—萘二羧酸、2,6—萘二羧酸等具有萘骨架的二羧酸;4,4’—磺酰基二安息香酸、3,4’—磺酰基二安息香酸、3,3’—磺酰基二安息香酸、4,4’—氧二安息香酸、3,4’—氧二安息香酸和3,3’—氧二安息香酸等二安息香酸;2,2—二(4—羧基苯基)丙烷、2,2—二(3—羧基苯基)丙烷、2,2—二(4—羧基苯基)六氟丙烷和2,2—二(3—羧基苯基)六氟丙烷等二—羧基苯基—丙烷(六氟丙烷);9,9—二(4—(4—羧基苯氧基)苯基)芴、9,9—二(4—(3—羧基苯氧基)苯基)芴、9,9—二—(2—羧基—苯基)芴、9,9—二—(3—羧基—苯基)芴等具有芴骨架的二羧酸;1,3—金刚烷二羧酸、2,5—二甲基金刚烷—1,3—二羧酸、2,5—二苯基金刚烷—1,3—二羧酸及2,5—二(叔丁基)金刚烷—1,3—二羧酸等具有金刚烷骨架的二羧酸;4,4’—二(4—羧基苯氧基)—对三苯和4,4’—二(4—羧基苯氧基)—间三苯等二—羧基苯基—三苯化合物等。它们可以单独使用,也可以2种以上组合使用。
其中,优选选自具有二安息酸骨架的化合物中的1种以上的二羧酸化合物。由此,可以提高聚苯并噁唑树脂层的粘附性。
另外,作为具有交联基的二羧酸,可以例举出:3—乙炔基邻苯二甲酸、4—乙炔基邻苯二甲酸、5—乙炔基异苯二甲酸、2—乙炔基对苯二甲酸和3—乙炔基对苯二甲酸等乙炔基苯二甲酸;2—乙炔基—1,5—萘二羧酸和3—乙炔基—1,5—萘二羧酸等乙炔基—萘二羧酸;4,4’—二乙炔基—2,2’—二苯基二羧酸和5,5’—二乙炔基—2,2’—二苯基二羧酸等二乙炔基—二苯基二羧酸;2,2—二(2—羧基—3—乙炔基苯基)丙烷和2,2—二(2—羧基—4—乙炔基苯基)丙烷等二(羧基—乙炔基苯基)丙烷;2,2—二(2—羧基—4—乙炔基苯基)六氟丙烷和2,2—二(3—羧基—5—乙炔基苯基)六氟丙烷等二(羧基—乙炔基苯基)六氟丙烷;5—(1—乙炔基—苯基)—异苯二甲酸和2—(1—乙炔基—苯基)—对苯二甲酸等的(乙炔基—苯基)—苯二甲酸;3—苯基乙炔基苯二甲酸、5—苯基乙炔基异苯二甲酸、2—苯基乙炔基对苯二甲酸和3—苯基乙炔基对苯二甲酸等的苯基乙炔基苯二甲酸;2—苯基乙炔基—1,5—萘二羧酸等苯基乙炔基—萘二羧酸、3,3’—二苯基乙炔基—2,2’—二苯二羧酸等二苯基乙炔基—二苯二羧酸;2,2—二(2—羧基—3—苯基乙炔基苯基)丙烷等二(羧基—苯基乙炔基苯基)丙烷;2,2—二(2—羧基—4—苯基乙炔基苯基)六氟丙烷等二(羧基—苯基乙炔基苯基)六氟丙烷;5—(1—苯基乙炔基—苯氧基)—异苯二甲酸、5—(2—苯基乙炔基—苯氧基)—异苯二甲酸、5—(3—苯基乙炔基—苯氧基)—异苯二甲酸和2—(1—苯基乙炔基—苯氧基)对苯二甲酸等苯基乙炔基—苯氧基苯二甲酸;4,4’—二苯乙炔二羧酸和3,4’—二苯乙炔二羧酸等二苯乙炔二羧酸等分子内具有乙炔骨架的二羧酸;1,2—二亚苯基二羧酸和1,3—二亚苯基二羧酸等具有二亚苯基骨架的二羧酸等。它们可以单独使用,也可以2种以上组合使用。
其中,优选选自乙炔基苯二甲酸、苯基乙炔基苯二甲酸和(苯基乙炔基—苯氧基)—苯二甲酸中的1种以上的二羧酸。由此,通过加热聚苯并噁唑树脂,发生三维网状的交联反应,可以进一步提高聚苯并噁唑树脂的耐热性。
2.2.2.3.封端化合物
在本发明中,为了提高聚苯并噁唑和聚苯并噁唑漆的保存稳定性,在合成聚苯并噁唑树脂过程中,可以使封端化合物与树脂的末端反应进行封端。
作为上述封端化合物,可以例举出苯二甲酸酐、3—乙炔基—苯二甲酸酐和3—苯乙炔基—苯二甲酸酐等的苯二甲酸酐,马来酸酐和5—降冰片烯—2,3—二羧酸酐等的酸酐、苯胺、4—乙炔基苯胺、3—乙炔基苯胺、4—苯乙炔基苯胺和3—苯乙炔基苯胺等的苯胺化合物、2—氨基苯酚、2—氨基—5—乙炔基苯酚和2—氨基—5—苯乙炔基苯酚等的氨基苯酚化合物,它们可以单独使用或2种以上组合使用。
在这些化合物中,优选具有上述碳—碳双键和碳—碳三键等交联基团的化合物。由此,通过加热聚苯并噁唑树脂从而三维网状地发生交联反应,可以提高聚苯并噁唑的耐热性。
2.2.3.聚苯并噁唑树脂的调整方法
如上所述,本发明中使用的聚苯并噁唑树脂是使聚苯并噁唑树脂前体进行闭环反应而得到的,所述聚苯并噁唑树脂前体,是由上述二氨基苯酚化合物和二羧酸化合物,通过以往的酰氯法、活性酯法和聚磷酸及二环己碳二酰亚胺等脱水缩合剂存在的条件下的缩合反应的合成方法而得到的。作为将上述聚苯并噁唑树脂前体进行闭环反应的方法,可例举出,在150~450℃×5(分)~24(小时)条件下进行加热而反应的方法、UV照射方法、电子射线照射方法等。
本发明中使用的聚苯并噁唑树脂前体的制造方法的一个例子是酰氯法,首先,在N,N—二甲基甲酰胺等催化剂存在的条件下,将例如异苯二甲酸的上述二羧酸化合物和过量的亚硫酰氯,在室温到75℃下进行反应,通过加热及减压来馏去过剩的亚硫酰氯,然后,通过在己烷等的溶剂中再结晶残留物,得到作为上述二羧酸化合物的酰氯的异苯二甲酰氯。
接着,将例如2,2—二(3—氨基—4—羟基苯基)丙烷的上述二氨基苯酚化合物溶解于通常的N—甲基—2—吡咯烷酮和N,N—二甲基乙酰胺等的极性溶剂中,使其与预先调制的上述二羧酸化合物的氯化物在三乙基胺等酸接受剂存在下、在室温到-30℃下进行反应,将由此得到的聚苯并噁唑树脂前体溶液,在水等的弱溶剂中再沉淀析出,从而可以得到聚苯并噁唑树脂前体。
为了提高保存稳定性,可以使上述封端化合物与得到的聚苯并噁唑树脂前体的末端反应,进行封端。
作为本发明中使用的聚苯并噁唑的封端方法的一个例子是,在上述得到的聚苯并噁唑树脂前体溶液中,添加例如5—降冰片烯—2,3—二羧酸酐的上述封端化合物,通过在室温到150℃下进行反应,可以得到树脂末端被封端的聚苯并噁唑树脂。
另外,也可以取代上述酰氯化合物,通过使上述二羧酸化合物的活性酯化合物与二氨基苯酚化合物反应,来得到聚苯并噁唑树脂前体。
本发明中使用的聚苯并噁唑,根据需要,也可以添加表面活性剂、偶合剂等各种添加剂而使用。
2.2.4.保护膜的形成方法
本发明中保护膜的制造方法为,例如,首先将聚苯并噁唑树脂前体溶解于适当的有机溶剂中制成清漆,将其涂布于基板(在本实施方式的层叠体中是配线层20)上,形成涂膜。作为上述涂布方法,可以例举出使用旋涂机的旋转涂布、使用喷涂机的喷雾涂布、浸渍、印刷、辊涂、辊刮涂等的方法。此时的膜厚,作为干燥的膜厚,可以形成一次涂布厚度为5~10000nm左右的涂膜。接着,将如此得到的涂膜,通过例如400℃、1小时的加热处理,进行闭环反应,由此可以得到含有由聚苯并噁唑构成的树脂膜的保护膜。
上述有机溶剂可例举出,N—甲基—2—吡咯烷酮、γ—丁内酯、N,N—二甲基乙酰胺、二甲基亚砜、二乙二醇二甲基醚、二乙二醇二乙醚、二乙二醇二丁醚、丙二醇单甲醚、二丙二醇单甲醚、丙二醇单甲醚乙酸酯、乳酸甲酯、乳酸乙酯、乳酸丁酯、甲基—1,3—丁二醇乙酸酯、1,3—丁二醇—3—单甲醚、丙酮酸甲酯、丙酮酸乙酯、甲基—3—甲氧基丙酸酯和环己酮等,它们可以单独使用,也可以组合使用。
2.3.第2绝缘层
2.3.1.二氧化硅系膜
构成第2绝缘层的绝缘层之—为二氧化硅系膜,使用膜形成用组合物来形成,所述膜形成用组合物含有将选自下述通式(7)~(10)表示的化合物中的至少1种的化合物水解、缩合得到的水解缩合物。
HSi(OR1)3 ……(7)
(式中,R1表示1价的有机基团。)
RaSi(OR2)4-a  ……(8)
(式中,R表示氟原子或1价的有机基团、R2表示1价的有机基团、a表示1~2的整数。)
Si(OR3)4  ……(9)
(式中,R3表示1价的有机基团。)
R4 b(R5O)3-bSi-(R8)d-Si(OR6)3-cR7 c(10)
(式中,R4~R7相同或不同,分别为1价的有机基团;b和c相同或不同,为0~2的整数;R8为氧原子、亚苯基或—(CH2)n—表示的基团(在此,n为1~6的整数);d表示0或1。)
首先,对用于二氧化硅系膜的膜形成用组合物进行说明。用于本实施方式的二氧化硅系膜的膜形成用组合物含有将选自通式(7)表示的化合物(以下,称为“化合物7”)、通式(8)表示的化合物(以下,称为“化合物8”)、通式(9)表示的化合物(以下,称为“化合物9”)、通式(10)表示的化合物(以下,称为“化合物10”)中的至少1种化合物进行水解、缩合得到的水解缩合物。首先,对用于形成膜形成用组合物的化合物进行说明。
2.3.1.1.化合物7
在上述通式(7)中,R1表示1价的有机基团,可以举出烷基、芳基、烯丙基、环氧丙基等。其中,通式(7)中,R1优选为1价有机基团,特别是烷基或苯基。在此,烷基可以举出甲基、乙基、丙基、丁基等,优选碳原子数为1~5,这些烷基可以是链状,也可以是分支状,进而也可以是氢原子被氟原子等取代。在上述通式(7)中,作为芳基,可以举出苯基、萘基、甲苯基、乙苯基、氯苯基、溴苯基、氟苯基等。
作为化合物7的具体例子,可以举出特开2001—354904号公报中公开的化合物。
作为化合物7特别优选的化合物,可以举出三甲氧基硅烷、三乙氧基硅烷、三—n—丙氧基硅烷、三异丙氧基硅烷、三—n—丁氧基硅烷、三—仲丁氧基硅烷、三—叔丁氧基硅烷、三苯氧基硅烷等。
2.3.1.2.化合物8
在上述通式(8)中,R、R2表示的1价有机基团可以举出与前面的通式(5)中表示的物质同样的有机基。
作为化合物8的具体例子,可以举出特开2001—354904号公报中公开的化合物。
作为化合物8特别优选的化合物,为甲基三甲氧基硅烷、甲基三乙氧基硅烷、甲基三—n—丙氧基硅烷、甲基三—异丙氧基硅烷、乙基三甲氧基硅烷、乙基三乙氧基硅烷、乙烯基三甲氧基硅烷、乙烯基三乙氧基硅烷、苯基三甲氧基硅烷、苯基三乙氧基硅烷、二甲基二甲氧基硅烷、二甲基二乙氧基硅烷、二乙基二甲氧基硅烷、二乙基二乙氧基硅烷、二乙烯基二甲氧基硅烷、二乙烯基二乙氧基硅烷、二苯基二甲氧基硅烷、二苯基二乙氧基硅烷等。
2.3.1.3.化合物9
在上述通式(9)中,R3表示的1价有机基团可以举出与前面的通式(5)中表示的物质同样的有机基团。
作为化合物9的具体例子,可以举出特开2001—354904号公报中公开的化合物。
作为化合物9优选的化合物,可以举出四甲氧基硅烷、四乙氧基硅烷、四—n—丙氧基硅烷、四—异丙氧基硅烷、四—n—丁氧基硅烷、四—仲丁氧基硅烷、四—叔丁氧基硅烷、四苯氧基硅烷等,作为特别优选的化合物可以举出四甲氧基硅烷、四乙氧基硅烷。它们可以1种或2种以上同时使用。
2.3.1.4.化合物10
在上述通式(10)中,R4~R7表示的1价有机基团可以举出与前面的通式(7)中表示的物质同样的有机基团。
作为化合物10的具体例子,可以举出特开2001—354904号公报中公开的化合物。
化合物10中通式(10)的R8是氧原子的化合物,优选的例子可举出,六甲氧基二硅氧烷、六乙氧基二硅氧烷、1,1,3,3—四甲氧基—1,3—二甲基二硅氧烷、1,1,3,3—四乙氧基—1,3—二甲基二硅氧烷、1,1,3,3—四甲氧基—1,3—二苯基二硅氧烷、1,3—二甲氧基—1,1,3,3—四甲基二硅氧烷、1,3—二乙氧基—1,1,3,3—四甲基二硅氧烷、1,3—二甲氧基—1,1,3,3—四苯基二硅氧烷、1,3—二乙氧基—1,1,3,3—四苯基二硅氧烷等。
在通式(10)中,作为d=0的化合物,优选的例子可举出,六甲氧基二硅烷、六乙氧基二硅烷、1,1,2,2—四甲氧基—1,2—二甲基二硅烷、1,1,2,2—四乙氧基—1,2—二甲基二硅烷、1,1,2,2—四甲氧基—1,2—二苯基二硅烷、1,2—二甲氧基—1,1,2,2—四甲基二硅烷、1,2—二乙氧基—1,1,2,2—四甲基二硅烷、1,2—二甲氧基—1,1,2,2—四苯基二硅烷、1,2—二乙氧基—1,1,2,2—四苯基二硅烷等。
进而,在通式(10)中,作为R8是—(CH2)n—表示的基团的化合物,优选的例子可举出,二(三甲氧基甲硅烷基)甲烷、二(三乙氧基甲硅烷基)甲烷、1,2—二(三甲氧基甲硅烷基)乙烷、1,2—二(三乙氧基甲硅烷基)乙烷、1—(二甲氧基甲基甲硅烷基)—1—(三甲氧基甲硅烷基)甲烷、1—(二乙氧基甲基甲硅烷基)—1—(三乙氧基甲硅烷基)甲烷、1—(二甲氧基甲基甲硅烷基)—2—(三甲氧基甲硅烷基)乙烷、1—(二乙氧基甲基甲硅烷基)—2—(三乙氧基甲硅烷基)乙烷、二(二甲氧基甲基甲硅烷基)甲烷、二(二乙氧基甲基甲硅烷基)甲烷、1,2—二(二甲氧基甲基甲硅烷基)乙烷、1,2—二(二乙氧基甲基甲硅烷基)乙烷、1,2—二(三甲氧基甲硅烷基)苯、1,2—二(三乙氧基甲硅烷基)苯、1,3—二(三甲氧基甲硅烷基)苯、1,3—二(三乙氧基甲硅烷基)苯、1,4—二(三甲氧基甲硅烷基)苯、1,4—二(三乙氧基甲硅烷基)苯等。
上述化合物7~10可以使用1种或同时使用2种以上。
2.3.1.5.膜形成用组合物的调整方法
用于二氧化硅系膜的膜形成用组合物,可以通过将选自上述化合物7~10中的至少1种化合物进行水解、缩合来调整。水解、缩合时,优选使用催化剂进行。作为此时使用的催化剂,可以举出金属螯合物、有机酸、无机酸、有机碱、无机碱。
作为金属螯合物可以例举出特开2001—335748号公报中公开的化合物。
作为有机酸,可以例举出下述的化合物。
乙酸、丙酸、丁酸、戊酸、己酸、庚酸、辛酸、壬酸、癸酸、草酸、马来酸、甲基丙二酸、己二酸、癸二酸、没食子酸、丁酸、苯六酸、花生四烯酸、芥草酸、2—乙基己酸、油酸、硬脂酸、亚油酸、亚麻酸、水杨酸、安息香酸、对氨基安息香酸、对甲苯磺酸、苯磺酸、单氯乙酸、二氯乙酸、三氯乙酸、三氟乙酸、蚁酸、丙二酸、磺酸、苯二甲酸、富马酸、柠檬酸、酒石酸等。作为无机酸,例如盐酸、硝酸、硫酸、氟酸、磷酸等。
作为有机碱,可例举下述的化合物。
吡啶、吡咯、哌嗪、吡咯烷、哌啶、皮考啉、三甲基胺、三乙基胺、单乙醇胺、二乙醇胺、二甲基单乙醇胺、单甲基二乙醇胺、三乙醇胺、二氮杂二环辛烷、二氮杂二环壬烷、二氮杂二环十一碳烯、四甲基铵氢氧化物、尿素、肌酸酐等。作为无机碱,例如氨、氢氧化钠、氢氧化钾、氢氧化钡、氢氧化钙等。
在这些催化剂中,优选金属螯合物、有机酸、无机酸,更优选有机酸。作为有机酸,特别优选乙酸、草酸、马来酸、丙二酸。作为催化剂,如果使用有机酸,则在水解及缩合反应中的聚合物的析出及凝胶化的可能小,因而优选。这些催化剂,可以使用1种或同时使用2种以上。相对于化合物1~4中的RxO基团(X=1~3,5,6)的总量1摩尔,上述催化剂的使用量通常为0.00001~0.5摩尔、优选0.00001~0.1摩尔。含有自化合物1~4中选出的化合物的缩合物的分子量按聚苯乙烯换算的重均分子量计,通常为500~3000000,优选700~2000000,进一步优选1000~1000000左右。
本发明的用于二氧化硅系膜的膜形成用组合物,是上述硅烷化合物的水解、缩合物溶解或分散于有机溶剂而得的物质。
作为有机溶剂,可以举出选自醇系溶剂、酮系溶剂、酰胺系溶剂、酯系溶剂和非质子系溶剂中的至少1种。这些有机溶剂可以举出与在特开2002—20689号公报中公开的醇、酮、酰胺、酯、非质子系溶剂相同的物质。在这些有机溶剂中,优选醇系溶剂。
另外,在本发明的膜形成用组合物中,可以添加胶体状硅、胶体状氧化铝、表面活性剂、硅烷偶合剂等的成分。
2.3.1.6.二氧化硅系膜的形成方法
接着,对二氧化硅系膜的形成方法进行说明。
二氧化硅系膜的形成,包括将上述膜形成用组合物涂布于基板(本实施方式的层叠体中为保护层30)上,进行加热。首先,作为向基板的涂布方法,可以举出旋涂法、浸涂法、辊刮、喷涂等。此时的膜厚,以干燥膜厚计,可以形成1次涂布厚度为5~1500nm左右、2次涂布厚度为10~3000nm左右的涂膜。
作为加热方法,例如可以是在60~600℃左右的温度下,通常加热1分~240分左右使涂膜干燥。此时,作为加热方法,可以使用热板、烤箱、炉等,作为加热环境,可以是在大气下、氮气氛下、氩气氛下、真空下、控制氧浓度的减压下等条件下进行。加热固化时,在非活性气氛下或减压下,优选80~450℃,更优选200℃~420℃下加热。另外,也可以通过照射电子线、紫外线来形成涂膜,这种情况可以缩短干燥时间,因而优选。
2.3.2.有机系膜
作为构成第2绝缘层的层之一而使用的有机系膜,更优选相对介电常数在3以下的有机系膜。通过使用相对介电常数在3以下的绝缘层,可以降低配线延迟,达到高速工作性,因而优选。
另外上述有机系膜可以使用由聚苯并噁唑、聚芳撑、聚芳撑醚、聚酰亚胺、聚酰胺构成的物质,特别是聚苯并噁唑由于是低相对介电常数、且具有高密度及高玻璃化温度的性质,而优选。
作为这些树脂,可以例举在特开平5—205526号公报、特开2000—191752号公报、特开平11—228674号公报和特开平11—349683等中记载的树脂。
另外,对于上述有机系膜,根据需要,可以添加表面活性剂、偶合剂等的各种添加剂而使用。
在上述有机系膜中,根据需要,通过使用在膜中导入有空孔的膜,可以实现低介电常数化。作为导入空孔的方法,没有特别的限定,可以例举出,以将成为空孔的要素的成孔剂(porogen)分散于膜中的状态,形成涂膜后,通过加热等能量只除去成孔剂,而导入空孔的成孔剂法。可例举出,特开平5—205526号公报、特开2000—31137号公报及特开2002—167442公报中记载的方法等。
2.4.硬掩蔽层
作为硬掩蔽层50,可以使用二氧化硅系膜和有机系膜中的至少1者。作为硬掩蔽层50,可以使用上述二氧化硅系膜和有机系膜。
3.半导体装置
接着,对在1项中说明的层叠体的第2绝缘层40上用双大马士革法形成有配线层的半导体装置进行说明。图4是显示本实施方式所述半导体装置的模式截面图。在此,本实施方式中,以使用第3例所示层叠体的情况为例进行说明。
如图4所示,本实施方式所述的半导体装置具有第1绝缘层10和规定的图案的配线层20。在配线层20上,设有含有聚苯并噁唑树脂层的保护层30。在保护层30上,设有第2绝缘层40。第2绝缘层40是绝缘层40a、b、c顺次层叠而形成的。绝缘层40a、c可以制成含有同一种材质的绝缘层,例如使用二氧化硅系膜或有机系膜。绝缘层40a、c之间设有与其不同的材质的绝缘层40b。作为绝缘层40b的材质,可以使用有机系膜或二氧化硅系膜。
在绝缘层40a,b上,设有导通(Via)开口部62,在绝缘层40c上设有与导通开口部62连接的配线开口部66。设置有在该导通开口部62和配线开口部66上埋入了导电层而形成的导通层64和配线层68。配线层20和配线层68通过导通层64而电连接。
接着,对图4所示半导体装置的形成方法的一个例子进行说明。
(1)首先,如图3所示,在第1绝缘层10上形成具有规定图案的配线层20。配线层20含有含铜的材质,其形成可以用公知的形成方法进行。接着,形成至少覆盖配线层20的上面的保护层30。保护层30是聚苯噁唑树脂层。其形成方法为,在配线层20和第1绝缘层10上涂布上述膜形成用组合物,形成涂膜,对该涂膜进行固化处理而形成的。对于膜形成用组合物及固化处理的详细请参照2.2.项的说明。
(2)接着,在保护层30上,形成形成有双大马士革构造的第2绝缘层40。在本实施方式的制造方法中,以第3例的层叠体为例进行说明,因而,第2绝缘层40顺次层叠有含有二氧化硅系膜的绝缘层40a、含有有机系膜的绝缘层40b和含有二氧化硅系膜的绝缘层40c。二氧化硅系膜40a、c是使用2.3.1项所述的膜形成用组合物形成涂膜将该涂膜实施固化处理而形成的。有机系膜40b也与二氧化硅系膜40a、c同样,是使用2.3.2项所述的膜形成用组合物形成涂膜将该涂膜实施固化处理而形成的。接着,根据需要,在第2绝缘层40上,形成硬掩蔽层50。关于硬掩蔽层50,在形成用于埋入在第2绝缘层40上形成的导通开口部62和配线开口部66的导电层之后,用CMP法等除去在第2绝缘层40的上方形成的导电层,硬掩蔽层60只要能够起到在该CMP工序时的抑制(stopper)层的作用即可。例如,可以使用二氧化硅系膜或有机系膜。
(3)接着,除去第2绝缘层40的一部分区域形成配线开口部66、和与配线开口部66连接的导通开口部62。在该配线开口部66和导通开口部62上埋入导电层,形成具有用于与配线层20电连接的双大马士革构造的配线层。
首先,在硬掩蔽层50上,在形成有导通开口部62的区域形成具有开口的第1掩蔽层(图未示出)。将该掩蔽层作为掩蔽,蚀刻绝缘层40c直到绝缘层40b露出为止。接着蚀刻绝缘层40b直到绝缘层40a露出为止。接着,在形成有配线开口部66的区域形成具有开口的第2掩蔽层(图未示出)。第2掩蔽层与第1掩蔽层相比具有大的开口的图案。将第2掩蔽层作为掩蔽,除去露出的绝缘层40c和40a。如此,在配线层20上形成导通开口部62,与导通开口部62连接的配线开口部66。
位于导通开口部62的下部的保护层30通过在下面的工序的导电层的埋入之前,用蚀刻来除去。除去位于导通开口部62下部的保护层30,可以在导通开口部62形成时进行,也可以在形成导通开口部62后进行。
接着,在导通开口部62和配线开口部66中埋入导电层。作为导电层,可以使用在配线层的项中例示的导电材料。特别是,从电阻低、可以降低配线延迟的角度讲,优选使用含有铜的导电材料。埋入导电层后,根据需要,通过实施CMP等的平坦化处理,可以在导通开口部62上形成有导通层64,在配线开口部66上形成有配线层68。配线层68和配线层20是通过导通层64电连接的。
根据以上的工序,在本实施方式的层叠体上可以形成适用双大马士革构造的配线层。
通过本实施方式的半导体装置,由于在配线层20上设有保护层30,因而可以抑制铜向第2绝缘层40的扩散。因此,第2绝缘层40具有充分的绝缘破坏耐受性,可以提供可靠性高的半导体装置。
另外,在使用第3例所述的层叠体的情况下,具有下述的优点。在用双大马士革法形成配线层的过程中,有使用上述那样的不同图案的掩蔽层进行多次蚀刻的方法。在第1蚀刻中,使用在形成有导通开口部62的区域上有开口的第1掩蔽层,除去第2绝缘层40直到希望的深度。接着,在第2蚀刻中,使用具有比第1掩蔽层大的开口的第2掩蔽层(在形成有配线开口部66的区域上具有开口的掩蔽层),形成配线开口部66和导通开口部62。在第2蚀刻时,为了防止绝缘层40b被过度地蚀刻,优选绝缘层40c和绝缘层40b的蚀刻率不同。在第3例所述的层叠体中,由于第2绝缘层40是由材质不同的多个层构成的,因而各个绝缘层的蚀刻率也不同。结果是,第2蚀刻时,绝缘层40b可以发挥抑制层的作用,可以形成具有良好形状的配线开口部66。
本发明不限定于上述的实施方式,可以在本发明的主题范围内进行变形。在第1例及第2例的层叠体的第2绝缘层40上形成用双大马士革法得到的配线层时,可以控制第1蚀刻及第2蚀刻的条件(处理时间,蚀刻气体等)形成导通开口部62和配线开口部66。另外,例如,第1例的层叠体,不适用双大马士革法,也可以适用于采用在第2绝缘层40上形成通常的连接层方式的半导体装置。
4.实施例
以下,举出实施例进一步具体说明本发明。然而,以下所述为概括地说明本发明的实施方式,没有特别理由,所述记载并不限定本发明。另外,在实施例和比较例中的份和%,只要没有特别记载,分别表示重量份和重量%。另外,各种评价如下进行。
4.1.评价方法
(相对介电常数的评价)
在8英寸硅片上,用旋涂法涂布组合物样品,在热板上80℃、1分钟,在氮气氛下200℃、1分钟干燥基板。进而,在420℃的氮气氛的热板上煅烧基板60分钟。对于得到的膜,用蒸镀法形成铝电极图案制作相对介电常数测定用样品。用频率100kHz、横河·Hewlett-Packard制HP16451B电极和HP4284A精密LCR测量仪,通过CV法测定该涂膜的相对介电常数。
(绝缘破坏耐受性的评价)
对于得到的层叠体,用蒸镀法形成铝电极图案制作绝缘破坏电压测定用样品。横河·Hewlett-Packard制HP16451B电极和HP4284A精密LCR测量仪,通过IV法测定该涂膜的绝缘破坏电压。
4.2.各种膜形成用组合物的调整
4.2.1.聚苯并噁唑树脂前体的调制(保护膜)
(保护膜调制例1)
将3,3’—二氨基—4,4’—二羟基—二苯2.16份(10mmol),在干燥氮气氛下,溶解于干燥的40份的N—甲基—2—吡咯烷酮,在5℃条件下,向其中经30分钟缓慢加入异苯二甲酰二氯化物1.94份(9.5mmol)。接着,回复到室温,在室温下搅拌1小时。然后,在5℃条件下,用30分钟滴入三乙胺2.45份(22mmol)。滴入结束后,回复到室温,通过在室温下搅拌3小时使其反应,得到聚苯并噁唑树脂前体。对得到的聚苯并噁唑树脂,用TOSOH(株)制GPC,求出聚苯乙烯换算的数均分子量(Mn),结果为7.5×103、重均分子量(Mw)为1.8×104
将该聚苯并噁唑树脂前体10g,溶解于N—甲基—2—吡咯烷酮中制成5重量%的溶液,用孔径0.2μm的TEFLON(注册商标)过滤器过滤,得到聚苯并噁唑前体的清漆(A—1)。通过如此得到的清漆形成膜,测定相对介电常数,结果为2.9。
(保护膜调制例2)
在调制例1中,使用3,3’—二氨基—4,4’—二羟基—二苯醚2.32份(10mmol)替代3,3’—二氨基—4,4’—二羟基—二苯2.16份(10mmol),使用对苯二甲酰二氯化物1.94份(9.5mmol)替代异苯二甲酰二氯化物1.94份(9.5mmol)除此以外,全部与调制例1同样地进行,进行聚苯并噁唑树脂前体的合成。通过GPC,测定分子量,结果为,聚苯乙烯换算的数均分子量(Mn)为2.1×103、重均分子量(Mw)为8.1×104。其后,与调制例1同样操作,得到聚苯并噁唑前体的清漆(A—2)。用通过如此得到的清漆形成膜,测定相对介电常数,结果为3.0。
(保护膜调制例3)
在调制例1中,使用9,9—二(4—(4—氨基—3—羟基)—苯氧基—苯基)芴5.652份(10mmol)替代3,3’—二氨基—4,4’—二羟基—二苯2.16份(10mmol),使用1,4—萘二甲酰二氯化物2.40份(9.5mmol)替代异苯二甲酰二氯化物1.94份(9.5mmol),除此以外,全部与调制例1同样地操作,进行聚苯并噁唑树脂前体的合成。通过GPC测定分子量,结果为,聚苯乙烯换算的数均分子量(Mn)为5.0×103、重均分子量(Mw)为1.5×104。其后,与调制例1同样操作,得到聚苯并噁唑前体的清漆(A—3)。用通过如此得到的清漆形成膜,测定相对介电常数,结果为3.0。
(保护膜调制例4)
将9,9’—二(3—氨基—4—羟基—苯基)芴3.80份(10mmol),在干燥氮气氛下,溶解于干燥的40份的N—甲基—2—吡咯烷酮,在5℃条件下,向其中经30分钟缓慢加入4,4’—氧二安息香酰二氯化物2.80份(9.5mmol)。接着,回复到室温,在室温下搅拌1小时。然后,在5℃条件下,用30分钟滴入三乙胺2.45份(22mmol)。滴入结束后,回复到室温,在室温下搅拌3小时使其反应。然后,在5℃条件下,添加5—降冰片烯—2,3—二羧酸酐0.16份(1.0mmol)。添加结束后,回复到室温通过在室温下搅拌3小时使其反应,得到聚苯并噁唑树脂前体。对得到的聚苯并噁唑树脂,用TOSOH(株)制GPC,求出聚苯乙烯换算的数均分子量(Mn),结果为8.5×103、重均分子量(Mw)为2.5×104。将该聚苯噁唑树脂前体10g,溶解于N—甲基—2—吡咯烷酮中制成5重量%的溶液,用孔径0.2μm的TEFLON(注册商标)过滤器过滤,得到聚苯并噁唑前体的清漆(A—4)。通过如此得到的清漆形成膜,测定相对介电常数,结果为3.0。
(保护膜调制例5)
在调制例4中,使用3,3’—二氨基—4,4’—二羟基—二苯2.16份(10mmol)替代9,9’—二(3—氨基—4—羟基—苯基)芴3.80份(10mmol),使用异苯二甲酰二氯化物0.97份(4.75mmol)和5—苯乙炔基异苯二甲酰二氯化物1.44份(4.75mmol)替代4,4’—氧二安息香酰二氯化物2.80份(9.5mmol),除此以外,全部与调制例4同样地进行,进行聚苯并噁唑树脂前体的合成。通过GPC,测定分子量,结果为,聚苯乙烯换算的数均分子量(Mn)为7.2×103、重均分子量(Mw)为2.3×104。其后,与调制例4同样操作,得到聚苯并噁唑前体的清漆(A—5)。用通过如此得到的清漆形成膜,测定相对介电常数,结果为3.0。
(保护膜调制例6)
在调制例4中,使用3,3’—二氨基—4,4’—二羟基—二苯2.16份(10mmol)替代9,9’—二(3—氨基—4—羟基—苯基)芴3.80份(10mmol),使用对苯二甲酰二氯化物0.97份(4.75mmol)和5—乙炔基异苯二甲酰二氯化物1.08份(4.75mmol)替代4,4’—氧二安息香酰二氯化物2.80份(9.5mmol),使用5—乙炔基苯二甲酸酐0.17份(0.1mmol)替代5—降冰片烯—2,3—二羧酸酐0.16份(1.0mmol),除此以外,全部与调制例4同样地进行,进行聚苯并噁唑树脂前体的合成。通过GPC,测定分子量,结果为,聚苯乙烯换算的数均分子量(Mn)为7.0×103、重均分子量(Mw)为2.2×104。其后,与调制例4同样操作,得到聚苯并噁唑前体的清漆(A—6)。用通过如此得到的清漆形成膜,测定相对介电常数,结果为3.0。
(保护膜调制例7)
在调制例4中,使用4,4’—氧二安息香酰二氯化物1.40份(4.75mmol)和5—苯乙炔基异苯二甲酰二氯化物1.44份(4.75mmol)替代4,4’—氧二安息香酰二氯化物2.80份(9.5mmol),使用5—苯乙炔基苯二甲酸酐0.25份(1.0mmol)替代5—降冰片烯—2,3—二羧酸酐0.16份(1.0mmol),除此以外,全部与调制例4同样地进行,进行聚苯并噁唑树脂前体的合成。通过GPC,测定分子量,结果为,聚苯乙烯换算的数均分子量(Mn)为8.4×103、重均分子量(Mw)为2.4×104。其后,与调制例4同样操作,得到聚苯并噁唑前体的清漆(A—7)。用通过如此得到的清漆形成膜,测定相对介电常数,结果为3.0。
(保护膜调制例8)
在调制例4中,使用9,9’—二(3—氨基—4—羟基—苯基)—2,7—二苯乙炔芴5.80份(10mmol)替代9,9’—二(3—氨基—4—羟基—苯基)芴3.80份(10mmol),使用异苯二甲酰二氯化物0.97份(4.75mmol)和5—苯乙炔基异苯二甲酰二氯化物1.44份(4.75mmol)替代4,4’—氧二安息香酰二氯化物2.80份(9.5mmol),使用5—苯乙炔基苯二甲酸酐0.25份(1.0mmol)替代5—降冰片烯—2,3—二羧酸酐0.16份(1.0mmol),除此以外,全部与调制例4同样地进行,进行聚苯并噁唑树脂前体的合成。通过GPC,测定分子量,结果为,聚苯乙烯换算的数均分子量(Mn)为6.2×103、重均分子量(Mw)为1.9×104。其后,与调制例4同样操作,得到聚苯并噁唑前体的清漆(A—8)。用通过如此得到的清漆形成膜,测定相对介电常数,结果为3.0。
(保护膜调制例9)
在调制例4中,使用4,4’—氧二安息香酰二氯化物2.0份(6.7mmol)和5—苯乙炔基异苯二甲酰二氯化物0.86份(2.9mmol)替代4,4’—氧二安息香酰二氯化物2.8份(9.5mmol),使用5—苯乙炔基苯二甲酸酐0.25份(1.0mmol)替代5—降冰片烯—2,3—二羧酸酐0.16份(1.0mmol),除此以外,全部与调制例4同样地进行,进行聚苯并噁唑树脂前体的合成。通过GPC,测定分子量,结果为,聚苯乙烯换算的数均分子量(Mn)为7.5×103、重均分子量(Mw)为2.1×104。其后,与调制例4同样操作,得到聚苯并噁唑前体的清漆(A—9)。用通过如此得到的清漆形成膜,测定相对介电常数,结果为3.0。
(保护膜调制例10)
在调制例4中,使用4,4’—氧二安息香酰二氯化物0.84份(2.9mmol)和5—苯乙炔基异苯二甲酰二氯化物2.0份(6.7mmol)替代4,4’—氧二安息香酰二氯化物2.80份(9.5mmol),使用5—苯乙炔基苯二甲酸酐0.25份(1.0mmol)替代5—降冰片烯—2,3—二羧酸酐0.16份(1.0mmol),除此以外,全部与调制例4同样地进行,进行聚苯并噁唑树脂前体的合成。通过GPC,测定分子量,结果为,聚苯乙烯换算的数均分子量(Mn)为6.8×103、重均分子量(Mw)为2.0×104。其后,与调制例4同样操作,得到聚苯并噁唑前体的清漆(A—10)。用通过如此得到的清漆形成膜,测定相对介电常数,结果为3.0。
(保护膜调制例11)
在调制例4中,使用5—苯乙炔基异苯二甲酰二氯化物2.9份(9.5mmol)替代4,4’—氧二安息香酰二氯化物2.8份(9.5mmol),除此以外,全部与调制例4同样地进行,进行聚苯并噁唑树脂前体的合成。通过GPC,测定分子量,结果为,聚苯乙烯换算的数均分子量(Mn)为6.5×103、重均分子量(Mw)为1.7×104。其后,与调制例4同样操作,得到聚苯并噁唑前体的清漆(A—11)。用通过如此得到的清漆形成膜,测定相对介电常数,结果为2.9。
(保护膜调制例12)
在调制例4中,使用4,4’—氧二安息香酰二氯化物2.7份(9.0mmol)替代4,4’—氧二安息香酰二氯化物2.8份(9.5mmol),使用5—苯乙炔基苯二甲酸酐0.50份(2.0mmol)替代5—降冰片烯—2,3—二羧酸酐0.16份(1.0mmol),除此以外,全部与调制例4同样地进行,进行聚苯并噁唑树脂前体的合成。通过GPC测定分子量,结果为,聚苯乙烯换算的数均分子量(Mn)为6.3×103、重均分子量(Mw)为1.5×104。其后,与调制例4同样操作,得到聚苯并噁唑前体的清漆(A—12)。用通过如此得到的清漆形成膜,测定相对介电常数,结果为3.0。
(保护膜调制例13)
在调制例4中,使用3,3’—二氨基—4,4’—二羟基—二苯2.2份(10mmol)替代9,9’—二(3—氨基—4—羟基—苯基)芴3.80份(10mmol),使用异苯二甲酰二氯化物1.4份(6.7mmol)和5—苯乙炔基异苯二甲酰二氯化物0.86份(2.9mmol)替代4,4’—氧二安息香酰二氯化物2.8份(9.5mmol),使用5—苯乙炔基苯二甲酸酐0.25份(1.0mmol)替代5—降冰片烯—2,3—二羧酸酐0.16份(1.0mmol),除此以外,全部与调制例4同样地进行,进行聚苯并噁唑树脂前体的合成。通过GPC,测定分子量,结果为,聚苯乙烯换算的数均分子量(Mn)为7.4×103、重均分子量(Mw)为2.2×104。其后,与调制例4同样操作,得到聚苯并噁唑前体的清漆(A—13)。用通过如此得到的清漆形成膜,测定相对介电常数,结果为3.0。
(保护膜调制例14)
在调制例4中,使用4,4’—氧二安息香酰二氯化物1.9份(6.3mmol)和5—苯乙炔基异苯二甲酰二氯化物0.64份(2.1mmol)替代4,4’—氧二安息香酰二氯化物2.8份(9.5mmol),使用5—苯乙炔基苯二甲酸酐0.50份(2.0mmol)替代5—降冰片烯—2,3—二羧酸酐0.16份(1.0mmol),除此以外,全部与调制例4同样地进行,进行聚苯并噁唑树脂前体的合成。通过GPC测定分子量,结果为,聚苯乙烯换算的数均分子量(Mn)为6.2×103、重均分子量(Mw)为1.5×104。其后,与调制例4同样操作,得到聚苯并噁唑前体的清漆(A—14)。用通过如此得到的清漆形成膜,测定相对介电常数,结果为3.0。
4.2.2.用于二氧化硅系膜的膜成形用组合物的调制
石英制的可分开式烧瓶里,加入蒸馏乙醇570g、离子交换水160g和10%氢氧化四甲基铵水溶液30g,搅拌均匀。在该溶液中添加甲基三甲氧硅烷136g和四乙氧硅烷209g的混合物。溶液保持在60℃,进行5小时反应。在该溶液中加入丙二醇单丙基醚300g,然后,用50℃的蒸发器浓缩溶液到10%(换算成完全水解缩合物),然后,添加乙酸的10%丙二醇单丙基醚溶液10g,得到膜形成用组合物(B—1)。使用如此得到的膜成形用组合物(B—1),按照上述的评价方法,测定相对介电常数,结果为2.23。
4.2.3.用于二氧化硅系膜的膜成形用组合物的调制(硬掩蔽层)
石英制的可分开式烧瓶里,加入蒸馏乙醇470.9g、离子交换水206.1g和10%氢氧化钾水溶液30.6g,搅拌均匀。在该溶液中用30分钟添加甲基三甲氧硅烷44.9g和四乙氧硅烷68.6g的混合物。溶液保持在55℃,进行1小时反应。在该溶液中添加20%马来酸水溶液240g,充分搅拌后,冷却到室温。向该溶液中添加然丙二醇单丙基醚溶液400g,然后,用50℃的蒸发器浓缩溶液到10%(换算成完全水解缩合物),然后,添加马来酸的10%丙二醇单丙基醚溶液10g,得到膜形成用组合物(B—2)。使用如此得到的膜成形用组合物(B—2),按照上述的相对介电常数测定方法,测定相对介电常数,结果为2.82。
4.2.4.用于有机系膜(绝缘膜)的膜形成用组合物的调制。
(有机系膜调制例1)
将2,2—二(3—氨基—4羟基苯基)六氟丙烷34.8g(0.095mol),溶解于干燥的N—甲基—2—吡咯烷酮330mL中,在干燥氮、10℃的条件下,向该溶液添加5—苯乙炔基异苯二甲酰二氯化物30.3g(0.1mol)。添加后,在10℃下搅拌1小时、接着在20℃下搅拌1小时。将反应液制成10℃后,添加三乙胺22.3g(0.22mol),接着,在干燥氮、10℃的条件下,添加下述溶液,即,在γ—丁内酯100mL中溶解了ALDRICH公司制聚(丙二醇)二(2—氨基丙基醚)40g(0.01mol、数均分子量4000)的溶液。添加后,在10℃下搅拌1小时、接着在20℃下搅拌20小时。反应结束后,过滤反应液,除去三乙胺盐酸盐,将过滤液滴落至离子交换水6.6L和异丙醇6.6L的混合溶液中,通过收集沉淀物进行干燥,得到聚苯并噁唑树脂前体(1)87.9g。用TOSOH(株)制GPC,用聚苯乙烯换算求出得到的聚苯并噁唑树脂前体(1)的分子量,结果为,重均分子量为25000,分子量分布为2.20。用1H-NMR测定反应性低聚物成分的导入率为36重量%。将得到的聚苯并噁唑树脂前体(1)10g,溶解于N—甲基—2—吡咯烷酮中制成5重量%的溶液,用孔径0.2μm的TEFLON(注册商标)过滤器过滤,得到清漆(C—1)。通过如此得到的清漆形成具有细孔的聚苯并噁唑树脂膜,测定相对介电常数,结果为2.2。
(有机系膜调制例2)
将3,3—二氨基—4,4’—二羟基二苯19.46g(0.090mol),溶解于干燥的N—甲基—2—吡咯烷酮292mL中,在干燥氮、5℃以下的条件下,向该溶液添加5—乙炔基异苯二甲酰二氯化物11.35g(0.050mol)。然后,在干燥氮、5℃以下的条件下,同样地添加5—苯基乙炔基异苯二甲酰二氯化物15.16g(0.050mol)。添加后,10℃下搅拌1小时、接着在20℃下搅拌1小时。将反应液制成5℃以下后,在干燥氮、10℃的条件下,添加下述溶液,即,在γ—丁内酯100mL中溶解了4—氨基安息香酸酯末端苯乙烯低聚物28.80g(0.0030mol、数均分子量9600)的溶液。接着,添加三乙胺22.26g(0.22mol)后,在10℃下搅拌1小时、接着在20℃下搅拌20小时。反应结束后,过滤反应液,除去三乙胺盐酸盐,将过滤液滴落至离子交换水7L和异丙醇3L的混合溶液中再沉淀,通过收集析出沉淀物进行干燥,得到聚苯并噁唑树脂前体(2)60.70g。用TOSOH(株)制GPC,用聚苯乙烯换算求出得到的聚苯噁唑树脂前体(2)的分子量,结果为,重均分子量为21700,分子量分布为2.74。用1H-NMR测定反应性低聚物成分的导入率为38.4重量%。
将得到的聚苯并噁唑树脂前体(2)5.00g,溶解于N—甲基—2—吡咯烷酮20.00g中,用孔径0.2μm的TEFLON(注册商标)过滤器过滤,得到清漆(C—2)。通过如此得到的清漆形成具有细孔的聚苯并噁唑树脂膜,测定相对介电常数,结果为2.3。
4.2.5.用于有机系膜的形成用组合物的调制(硬掩蔽层)
在备有温度计、氩气导入管、搅拌装置的1000mL三口烧瓶中,加入四氢呋喃120ml、四(三苯基膦)钯3.46g、二氯双(三苯基膦)钯2.1g、碘化铜1.44g、吡哌嗪20ml、4,4’—二(2—碘苯氧基)苯酚酮185.72g。接着,加入4,4’—二乙炔基二苯基醚65.48g,使其在25℃反应20小时。将该反应液在5升乙酸中在反复再沉淀2次,然后溶于环己酮中,用超纯水洗净2次,用5升甲醇再沉淀,过滤沉淀,进行干燥,得到重均分子量为35000的聚合物A。
将该聚合物A30g溶解于270g环己酮中,得到膜形成用组合物(D)使用如此得到的膜形成用组合物(D),按照上述的评价方法,测定相对介电常数,结果为2.93。
[实施例1]
在8英寸的硅片上,使用Nevellus制Sequel Express,形成硅烷和氨的CVD膜
Figure C200580016368D00471
该涂膜的元素组成为,Si(50原子%)、O(4原子%)、C(3原子%)、N(40原子%)、H(4原子%)。在该涂膜上,使用溅射法层叠铜膜
Figure C200580016368D00472
在该铜膜上涂布聚苯并噁唑膜成形用组合物(A-1)
Figure C200580016368D00473
然后,进一步在420℃的氮气氛的热板上煅烧该基板60分钟。接着,在该基板上,涂布二氧化硅系绝缘膜形成用组合物(B-1)
Figure C200580016368D00474
然后在80℃1分钟、200℃1分钟条件下干燥基板。进而,通过在420℃的氮气氛的热板上煅烧该基板60分钟,从而形成实施例1所述的层叠膜。
[实施例2~14]
在实施例2~14中,除了变更实施例1中的聚苯并噁唑树脂膜成形用组合物之外,与实施例1同样地操作,形成各个实施例所述的层叠体。各层叠体的绝缘破坏电压示于表1中。
[实施例15]
在8英寸的硅片上,使用Nevellus制Sequel Express,形成硅烷和氨的CVD膜
Figure C200580016368D00475
该涂膜的元素组成为,Si(50原子%)、O(4原子%)、C(3原子%)、N(40原子%)、H(4原子%)。在该涂膜上,使用溅射法层叠铜膜
Figure C200580016368D00476
在该铜膜上涂布聚苯并噁唑膜成形用组合物(A-1)
Figure C200580016368D00473
然后,进一步在420℃的氮气氛的热板上煅烧该基板60分钟。接着,在该基板上,涂布二氧化硅系绝缘膜形成用组合物(B-1)
Figure C200580016368D00478
然后在80℃1分钟、200℃1分钟条件下干燥基板。进而,通过在420℃的氮气氛的热板上煅烧该基板60分钟。接着,在该基板上,使用有机系绝缘膜形成用组合物(C—1)形成
Figure C200580016368D00479
的涂膜,然后在80℃1分钟、200℃1分钟条件下干燥基板。然后,通过在420℃的氮气氛的热板上煅烧该基板60分钟,从而形成实施例15所述的层叠体。
[实施例16]
在8英寸的硅片上,使用Nevellus制Sequel Express,形成硅烷和氨的CVD膜
Figure C200580016368D00481
该涂膜的元素组成为,Si(50原子%)、O(4原子%)、C(3原子%)、N(40原子%)、H(4原子%)。在该涂膜上,使用溅射法层叠铜膜
Figure C200580016368D00482
在该铜膜上涂布聚苯并噁唑膜成形用组合物(A-2)
Figure C200580016368D00483
然后,进一步在420℃的氮气氛的热板上煅烧该基板60分钟。接着,在该基板上,涂布二氧化硅系绝缘膜形成用组合物(B-1)
Figure C200580016368D00484
然后在80℃1分钟、200℃1分钟条件下干燥基板。进而,在420℃的氮气氛的热板上煅烧该基板60分钟。接着,在该基板上,进一步使用有机系绝缘膜形成用组合物(C—2)形成
Figure C200580016368D00485
的涂膜,然后在80℃1分钟、200℃1分钟条件下干燥基板。然后,通过在420℃的氮气氛的热板上煅烧该基板60分钟,从而形成实施例16所述的层叠体。
[实施例17]
在8英寸的硅片上,使用Nevellus制Sequel Express,形成硅烷和氨的CVD膜
Figure C200580016368D00486
该涂膜的元素组成为,Si(50原子%)、O(4原子%)、C(3原子%)、N(40原子%)、H(4原子%)。在该涂膜上,使用溅射法层叠铜膜
Figure C200580016368D00487
在该铜膜上涂布聚苯并噁唑膜成形用组合物(A-1)
Figure C200580016368D00488
然后,进一步在420℃的氮气氛的热板上煅烧该基板60分钟。接着,在该基板上,涂布二氧化硅系绝缘膜形成用组合物(B-1)
Figure C200580016368D00489
然后在80℃1分钟、200℃1分钟条件下干燥基板。进而,通过在420℃的氮气氛的热板上煅烧该基板60分钟。接着,在该基板上,进一步使用有机系绝缘膜形成用组合物(C—1)形成
Figure C200580016368D004810
的涂膜,然后在80℃1分钟、200℃1分钟条件下干燥基板。然后,在420℃的氮气氛的热板上煅烧该基板60分钟。接着,在该基板上,进一步使用二氧化硅系绝缘膜形成用组合物(B-1)形成
Figure C200580016368D004811
的涂膜,然后在80℃1分钟、200℃1分钟条件下干燥基板。进而,通过在420℃的氮气氛的热板上煅烧该基板60分钟。从而形成实施例17所述的层叠体。
[实施例18]
在8英寸的硅片上,使用Nevellus制Sequel Express,形成硅烷和氨的CVD膜
Figure C200580016368D004812
该涂膜的元素组成为,Si(50原子%)、O(4原子%)、C(3原子%)、N(40原子%)、H(4原子%)。在该涂膜上,使用溅射法层叠铜膜
Figure C200580016368D00491
在该铜膜上涂布聚苯噁唑膜成形用组合物(A-2)
Figure C200580016368D00492
然后,进一步在420℃的氮气氛的热板上煅烧该基板60分钟。接着,在该基板上,涂布二氧化硅系绝缘膜形成用组合物(B-1)
Figure C200580016368D00493
然后在80℃1分钟、200℃1分钟条件下干燥基板。进而,在420℃的氮气氛的热板上煅烧该基板60分钟。接着,在该基板上,进一步使用有机系绝缘膜形成用组合物(C—2)形成
Figure C200580016368D00494
的涂膜,然后在80℃1分钟、200℃1分钟条件下干燥基板。然后,在420℃的氮气氛的热板上煅烧该基板60分钟。接着,在该基板上,进一步使用二氧化硅系绝缘膜形成用组合物(B-1)形成的涂膜,然后在80℃1分钟、200℃1分钟条件下干燥基板。进而,通过在420℃的氮气氛的热板上煅烧该基板60分钟,形成实施例18所述的层叠体。
[实施例19]
在8英寸的硅片上,使用Nevellus制Sequel Express,形成硅烷和氨的CVD膜
Figure C200580016368D00496
该涂膜的元素组成为,Si(50原子%)、O(4原子%)、C(3原子%)、N(40原子%)、H(4原子%)。在该涂膜上,使用溅射法层叠铜膜
Figure C200580016368D00497
在该铜膜上涂布聚苯并噁唑膜成形用组合物(A-1)然后,进一步在420℃的氮气氛的热板上煅烧该基板60分钟。接着,在该基板上,使用有机系绝缘膜形成用组合物(C-1)形成
Figure C200580016368D00499
的涂膜,然后在80℃1分钟、200℃1分钟条件下干燥基板。进而,通过在420℃的氮气氛的热板上煅烧该基板60分钟,从而形成实施例19所述的层叠体。
[实施例20~32]
在实施例20~32中,除了变更实施例19中的聚苯并噁唑膜成形用组合物和第1层绝缘层的膜形成用组合物之外,与实施例19同样地操作,形成实施例20~32所述的层叠体。在此,在实施例20~32中使用的聚苯并噁唑膜成形用组合物和有机系绝缘膜形成用组合物如表1所示。
[实施例33]
在8英寸的硅片上,使用Nevellus制Sequel Express,形成硅烷和氨的CVD膜
Figure C200580016368D004910
该涂膜的元素组成为,Si(50原子%)、O(4原子%)、C(3原子%)、N(40原子%)、H(4原子%)。在该涂膜上,使用溅射法层叠铜膜
Figure C200580016368D00501
在该铜膜上涂布聚苯并噁唑膜成形用组合物(A-1)
Figure C200580016368D00502
然后,进一步在420℃的氮气氛的热板上煅烧该基板60分钟。接着,在该基板上,使用有机系绝缘膜形成用组合物(C-1)形成
Figure C200580016368D00503
的涂膜,然后在80℃1分钟、200℃1分钟条件下干燥基板。进而,在420℃的氮气氛的热板上煅烧该基板60分钟。接着,在该基板上,进一步使用二氧化硅系绝缘膜形成用组合物(B—1)形成
Figure C200580016368D00504
的涂膜,然后在80℃1分钟、200℃1分钟条件下干燥基板。然后,在420℃的氮气氛的热板上煅烧该基板60分钟,从而形成实施例33所述的层叠体。
[实施例34]
在8英寸的硅片上,使用Nevellus制Sequel Express,形成硅烷和氨的CVD膜
Figure C200580016368D00505
该涂膜的元素组成为,Si(50原子%)、O(4原子%)、C(3原子%)、N(40原子%)、H(4原子%)。在该涂膜上,使用溅射法层叠铜膜
Figure C200580016368D00506
在该铜膜上涂布聚苯并噁唑膜成形用组合物(A-2)
Figure C200580016368D00507
然后,进一步在420℃的氮气氛的热板上煅烧该基板60分钟。接着,在该基板上,使用有机系绝缘膜形成用组合物(C-2)形成
Figure C200580016368D00508
的涂膜,然后在80℃1分钟、200℃1分钟条件下干燥基板。进而,在420℃的氮气氛的热板上煅烧该基板60分钟。接着,在该基板上,进一步使用二氧化硅系绝缘膜形成用组合物(B—1)形成
Figure C200580016368D00509
的涂膜,然后在80℃1分钟、200℃1分钟条件下干燥基板。接着,通过在420℃的氮气氛的热板上煅烧该基板60分钟,从而形成实施例34所述的层叠体。
[实施例35]
在8英寸的硅片上,使用Nevellus制Sequel Express,形成硅烷和氨的CVD膜
Figure C200580016368D005010
该涂膜的元素组成为,Si(50原子%)、O(4原子%)、C(3原子%)、N(40原子%)、H(4原子%)。在该涂膜上,使用溅射法层叠铜膜
Figure C200580016368D005011
在该铜膜上涂布聚苯并噁唑膜成形用组合物(A-1)
Figure C200580016368D005012
然后,进一步在420℃的氮气氛的热板上煅烧该基板60分钟。接着,在该基板上,使用有机系绝缘膜形成用组合物(C-1),形成
Figure C200580016368D005013
的涂膜,然后在80℃1分钟、200℃1分钟条件下干燥基板。进而,在420℃的氮气氛的热板上煅烧该基板60分钟。接着,在该基板上,进一步使用二氧化硅系绝缘膜形成用组合物(B—1)形成
Figure C200580016368D00511
的涂膜,然后在80℃1分钟、200℃1分钟条件下干燥基板。然后,在420℃的氮气氛的热板上煅烧该基板60分钟。接着,在该基板上,进一步使用有机系绝缘膜形成用组合物(C-1)形成
Figure C200580016368D00512
的涂膜,然后在80℃1分钟、200℃1分钟条件下干燥基板。进而,通过在420℃的氮气氛的热板上煅烧该基板60分钟,从而形成实施例35所述的层叠体。
[实施例36]
在8英寸的硅片上,使用Nevellus制Sequel Express,形成硅烷和氨的CVD膜
Figure C200580016368D00513
该涂膜的元素组成为,Si(50原子%)、O(4原子%)、C(3原子%)、N(40原子%)、H(4原子%)。在该涂膜上,使用溅射法层叠铜膜
Figure C200580016368D00514
在该铜膜上涂布聚苯并噁唑膜成形用组合物(A-2)
Figure C200580016368D00515
然后,进一步在420℃的氮气氛的热板上煅烧该基板60分钟。接着,在该基板上,使用有机系绝缘膜形成用组合物(C-2)形成的涂膜,然后在80℃1分钟、200℃1分钟条件下干燥基板。进而,在420℃的氮气氛的热板上煅烧该基板60分钟。接着,在该基板上,进一步使用二氧化硅系绝缘膜形成用组合物(B—1)形成的涂膜,然后在80℃1分钟、200℃1分钟条件下干燥基板。然后,在420℃的氮气氛的热板上煅烧该基板60分钟。接着,在该基板上,进一步使用有机系绝缘膜形成用组合物(C-2)形成的涂膜,然后在80℃1分钟、200℃1分钟条件下干燥基板。进而,通过在420℃的氮气氛的热板上煅烧该基板60分钟,从而形成实施例36所述的层叠体。
[实施例37]
在实施例17得到的层叠体上,进一步使用二氧化硅系硬掩蔽膜形成用组合物(B—2)形成的涂膜,然后在80℃1分钟、200℃1分钟条件下干燥基板。然后,通过在420℃的氮气氛的热板上煅烧该基板60分钟,从而形成实施例37所述的层叠体。
[实施例38]
在实施例18得到的层叠体上,进一步使用二氧化硅系硬掩蔽膜形成用组合物(B—2)形成
Figure C200580016368D00521
的涂膜,然后在80℃1分钟、200℃1分钟条件下干燥基板。然后,通过在420℃的氮气氛的热板上煅烧该基板60分钟,从而形成实施例38所述的层叠体。
[实施例39]
在实施例17得到的层叠体上,进一步使用有机系硬掩蔽膜形成用组合物(D)形成
Figure C200580016368D00522
的涂膜,然后在80℃1分钟、200℃1分钟条件下干燥基板。然后,通过在420℃的氮气氛的热板上煅烧该基板60分钟,从而形成实施例39所述的层叠体。
[实施例40]
在实施例18得到的层叠体上,进一步使用有机系硬掩蔽膜形成用组合物(D)形成
Figure C200580016368D00523
的涂膜,然后在80℃1分钟、200℃1分钟条件下干燥基板。然后,通过在420℃的氮气氛的热板上煅烧该基板60分钟,从而形成实施例40所述的层叠体。
[比较例]
在8英寸的硅片上,使用Nevellus制Sequel Express,形成硅烷和氨的CVD膜
Figure C200580016368D00524
该涂膜的元素组成为,Si(50原子%)、O(4原子%)、C(3原子%)、N(40原子%)、H(4原子%)。在该涂膜上,使用溅射法层叠铜膜在该铜膜上涂布二氧化硅系绝缘膜形成用组合物(2)
Figure C200580016368D00526
然后在80℃1分钟、200℃1分钟条件下干燥基板。进而,在420℃的氮气氛的热板上煅烧该基板60分钟。得到的层叠膜的绝缘破坏电压为3.5MV/cm。
在表1中,显示了形成由实施例1~40及比较例得到的层叠体时使用的膜形成用组合物(清漆)的组合和得到的层叠体的绝缘破坏电压。
[表1]
 
保护层 第1层绝缘层 第2层绝缘层 第3层绝缘层 硬掩蔽层 绝缘破坏电压(MV/cm)     
实施例1实施例2实施例3实施例4实施例5实施例6实施例7实施例8实施例9实施例10实施例11实施例12实施例13实施例14 A—1A—2A—3A—4A—5A—6A—7A—8A—9A—10A—11A—12A—13A—14 B—1B—1B—1B—1B—1B—1B—1B—1B—1B—1B—1B—1B—1B—1 6.05.76.16.15.86.35.75.96.05.86.25.96.26.1
实施例15实施例16 A—1A—2 B—1B—1 C—1C—2 6.35.9
实施例17实施例18 A—1A—2 B—1B—1 C—1C—2 B—1B—1 5.96.1
实施例19实施例20实施例21实施例22实施例23实施例24实施例25实施例26实施例27实施例28实施例29实施例30实施例31实施例32 A—1A—2A—3A—4A—5A—6A—7A—8A—9A—10A—11A—12A—13A—14 C—1C—2C—1C—2C—1C—2C—1C—2C—1C—2C—1C—2C—1C—2 6.25.86.06.15.96.25.85.86.35.96.25.75.76.0
实施例33实施例34 A—1A—2 C—1C—2 B—1B—1 5.86.0
实施例35实施例36 A—1A—2 C—1C—2 B—1B—1 C—1C—2 6.56.2
实施例37实施例38 A—1A—2 B—1B—1 C—1C—2 B—1B—1 B—2B—2 6.46.3
实施例39实施例40 A—1A—2 B—1B—1 C—1C—2 B—1B—1 DD 6.36.2
比较例 B—1 3.5
由表1显示的结果可知,由实施例1~40得到的层叠体中的任意一个的绝缘破坏电压为4MV/cm以上,可以得到具有良好的绝缘破坏耐受性的层叠体。另一方面,在比较例的层叠体中,显示出绝缘破坏电压比4MV/cm还小,不能形成良好的绝缘层。由以上确认了,通过本发明的层叠体,通过在含有铜的配线层和覆盖该配线层的绝缘层之间,具有含有聚苯并噁唑树脂层的保护层,可以抑制铜向绝缘层的扩散,作为半导体装置的绝缘层可以很好地使用。

Claims (19)

1.一种层叠体,其特征在于,含有:
在半导体层上方设置的、具有规定图案的铜配线层,
在上述铜配线层上设置的、含有聚苯并噁唑树脂层的保护层,
在上述保护层上设置的绝缘层;
所述聚苯并噁唑树脂层是由具有下述通式(1)表示的重复单元的树脂而构成的,
Figure C200580016368C00021
式(1)中,X表示选自式(2)表示的基团中的至少一种基团,Y表示选自式(3)表示的基团中的至少一种基团;n表示1~10000的整数;
Figure C200580016368C00022
在式(2)和式(3)中,X1表示选自式(4)表示的基团中的基团;
Figure C200580016368C00041
式(2)、式(3)和式(4)表示的基团的苯环上的氢原子,被交联基团取代或不被交联基团取代,或者被有机基团取代或不被有机基团取代,所述有机基团是选自脂肪族基团和芳香族基团中的至少1种的有机基团,具有交联基团或不具有交联基团,所述交联基团为:马来酸酐缩亚胺基、桥亚甲基四氢化邻苯二甲酸酐缩亚胺基、乙烯基、环戊二烯基、乙炔基、苯乙炔基、萘乙炔基、蒽基乙炔基、喹啉基乙炔基、喹喔啉基乙炔基、烷基乙炔基、炔丙基醚基、亚联苯基或氰酰基;
并且,所述聚苯并噁唑树脂层是由具有后述基团作为式(1)表示的重复单元中的Y的树脂而构成的,所述基团是选自将式(3)表示基团的苯环上的氢原子用乙炔基或苯乙炔基取代而得的基团中的至少1种基团。
2.根据权利要求1所述的层叠体,其特征在于,所述绝缘层为二氧化硅系膜或有机系膜。
3.根据权利要求1所述的层叠体,其特征在于,所述绝缘层层叠有材质不同的多个层。
4.根据权利要求3所述的层叠体,其特征在于,所述绝缘层层叠有二氧化硅系膜和有机系膜。
5.根据权利要求3所述的层叠体,其特征在于,所述绝缘层顺次层叠有第1有机系膜、二氧化硅系膜、第2有机系膜。
6.根据权利要求3所述的层叠体,其特征在于,所述绝缘层顺次层叠有第1二氧化硅系膜、有机系膜、第2二氧化硅系膜。
7.根据权利要求1所述的层叠体,其特征在于,进一步含有在所述绝缘层上设置的硬掩蔽层。
8.根据权利要求1所述的层叠体,其特征在于,所述聚苯并噁唑树脂层是由具有后述基团作为式(1)表示的重复单元中的Y的树脂而构成的,所述基团是选自式(5)或(6)表示的基团中的至少1种基团。
Figure C200580016368C00061
Figure C200580016368C00071
9.根据权利要求2所述的层叠体,其特征在于,所述有机系膜具有3以下的相对介电常数。
10.根据权利要求4所述的层叠体,其特征在于,所述有机系膜具有3以下的相对介电常数。
11.根据权利要求6所述的层叠体,其特征在于,所述有机系膜具有3以下的相对介电常数。
12.根据权利要求2所述的层叠体,其特征在于,所述有机系膜是由选自聚苯并噁唑、聚芳撑、聚芳撑醚、聚酰亚胺、聚酰胺中的至少1种树脂构成的膜。
13.根据权利要求4所述的层叠体,其特征在于,所述有机系膜是由选自聚苯并噁唑、聚芳撑、聚芳撑醚、聚酰亚胺、聚酰胺中的至少1种树脂构成的膜。
14.根据权利要求6所述的层叠体,其特征在于,所述有机系膜是由选自聚苯并噁唑、聚芳撑、聚芳撑醚、聚酰亚胺、聚酰胺中的至少1种树脂构成的膜。
15.根据权利要求2所述的层叠体,其特征在于,所述二氧化硅系膜是将选自下述通式(7)~(10)表示的化合物中的至少1种化合物水解、缩合而得到的水解缩合物进行加热而成的膜,
HSi(OR1)3     ·····(7)
式中,R1表示1价的有机基团,
R2Si(OR2)4-2 ·····(8)
式中,R表示氟原子或1价的有机基团、R2表示1价的有机基团、a表示1~2的整数,
Si(OR3)4       ·····(9)
式中,R3表示1价的有机基团,
R4 b(R5O)3-bSi-(R8)d-Si(OR6)3-cR7 c   (10)
式中,R4~R7相同或不同,分别表示1价的有机基团;b和c相同或不同,表示0~2的整数;R8表示氧原子、亚苯基或—(CH2)n—表示的基团,在此,n是1~6的整数;d表示0或1。
16.根据权利要求4所述的层叠体,其特征在于,所述二氧化硅系膜是将选自下述通式(7)~(10)表示的化合物中的至少1种化合物水解、缩合而得到的水解缩合物进行加热而成的膜,
HSi(OR1)3          ·····(7)
式中,R1表示1价的有机基团,
RaSi(OR2)4-a       ·····(8)
式中,R表示氟原子或1价的有机基团、R2表示1价的有机基团、a表示1~2的整数,
Si(OR3)4       ·····(9)
式中,R3表示1价的有机基团,
R4 b(R5O)3-bSi-(R8)d-Si(OR6)3-cR7 c    (10)
式中,R4~R7相同或不同,分别表示1价的有机基团;b和c相同或不同,表示0~2的整数;R8表示氧原子、亚苯基或—(CH2)n—表示的基团,在此,n是1~6的整数;d表示0或1。
17.根据权利要求5所述的层叠体,其特征在于,所述二氧化硅系膜是将选自下述通式(7)~(10)表示的化合物中的至少1种化合物水解、缩合而得到的水解缩合物进行加热而成的膜,
HSi(OR1)3      ·····(7)
式中,R1表示1价的有机基团,
RaSi(OR2)4-a     ·····(8)
式中,R表示氟原子或1价的有机基团、R2表示1价的有机基团、a表示1~2的整数,
Si(OR3)4      ·····(9)
式中,R3表示1价的有机基团,
R4 b(R5O)3-bSi-(R8)d-Si(OR6)3-cR7 c  (10)
式中,R4~R7相同或不同,分别表示1价的有机基团;b和c相同或不同,表示0~2的整数;R8表示氧原子、亚苯基或—(CH2)n—表示的基团,在此,n是1~6的整数;d表示0或1。
18.根据权利要求7所述的层叠体,其特征在于,所述硬掩蔽层是含有二氧化硅系膜和有机系膜中的至少一者的层。
19.一种半导体装置,其特征在于,在权利要求1所述的层叠体的所述绝缘层上,具有由双大马士革法形成的配线层。
CNB2005800163686A 2004-05-21 2005-05-20 层叠体及半导体装置 Expired - Fee Related CN100481378C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2004152045 2004-05-21
JP152045/2004 2004-05-21

Publications (2)

Publication Number Publication Date
CN1957459A CN1957459A (zh) 2007-05-02
CN100481378C true CN100481378C (zh) 2009-04-22

Family

ID=35428616

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2005800163686A Expired - Fee Related CN100481378C (zh) 2004-05-21 2005-05-20 层叠体及半导体装置

Country Status (6)

Country Link
US (1) US7608928B2 (zh)
EP (1) EP1760774A4 (zh)
JP (1) JPWO2005114724A1 (zh)
CN (1) CN100481378C (zh)
TW (1) TW200609118A (zh)
WO (1) WO2005114724A1 (zh)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007220967A (ja) * 2006-02-17 2007-08-30 Sumitomo Bakelite Co Ltd 配線構造とその製造方法及び半導体装置
JP2008016640A (ja) * 2006-07-06 2008-01-24 Consortium For Advanced Semiconductor Materials & Related Technologies 半導体装置
JP4911454B2 (ja) * 2006-09-19 2012-04-04 富士フイルム株式会社 ポリベンゾオキサゾール前駆体、それを用いた感光性樹脂組成物及び半導体装置の製造方法
US8389663B2 (en) 2009-10-08 2013-03-05 International Business Machines Corporation Photo-patternable dielectric materials curable to porous dielectric materials, formulations, precursors and methods of use thereof
KR101788093B1 (ko) * 2014-03-19 2017-10-19 제일모직 주식회사 모노머, 상기 모노머를 포함하는 하드마스크 조성물 및 상기 하드마스크 조성물을 사용하는 패턴형성방법
KR102154668B1 (ko) * 2014-09-19 2020-09-10 미쓰이금속광업주식회사 표면 처리 구리박 및 그 제조 방법, 프린트 배선판용 동장 적층판, 그리고 프린트 배선판
KR102382236B1 (ko) * 2016-03-22 2022-04-08 닛산 가가쿠 가부시키가이샤 전자 디바이스용 기판 제조용 폴리하이드록시아마이드 조성물 및 폴리벤조옥사졸 수지 필름
US10612137B2 (en) * 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2531906B2 (ja) 1991-09-13 1996-09-04 インターナショナル・ビジネス・マシーンズ・コーポレイション 発泡重合体
JP3418458B2 (ja) * 1993-08-31 2003-06-23 富士通株式会社 半導体装置の製造方法
JPH11228674A (ja) 1998-02-09 1999-08-24 Jsr Corp フェニレン基含有共重合体の製造方法および膜形成材料
US6521290B1 (en) * 1998-05-18 2003-02-18 Shin-Etsu Chemical Co., Ltd. Silica particles surface-treated with silane, process for producing the same and uses thereof
JP3932674B2 (ja) 1998-06-10 2007-06-20 Jsr株式会社 ポリイミド樹脂、ポリアミック酸および層間絶縁膜
JP3178417B2 (ja) * 1998-06-10 2001-06-18 日本電気株式会社 半導体キャリアおよびその製造方法
JP2000031137A (ja) 1998-07-16 2000-01-28 Sony Corp 半導体層間絶縁膜用有機材料
JP2000191752A (ja) 1998-12-25 2000-07-11 Dow Chem Co:The ポリフェニレンオリゴマ―及びポリマ―
DE19961103C2 (de) * 1999-12-17 2002-03-14 Infineon Technologies Ag Dielektrische Füllung von elektrischen Verdrahtungsebenen und Verfahren zur Herstellung einer elektrischen Verdrahtung
JP4195773B2 (ja) 2000-04-10 2008-12-10 Jsr株式会社 層間絶縁膜形成用組成物、層間絶縁膜の形成方法およびシリカ系層間絶縁膜
JP4586229B2 (ja) * 2000-03-17 2010-11-24 住友ベークライト株式会社 有機絶縁膜及びその有機絶縁膜材料の製造方法
JP3442049B2 (ja) * 2000-03-29 2003-09-02 住友ベークライト株式会社 耐熱性樹脂前駆体、耐熱性樹脂及び絶縁膜並びに半導体装置
JP4117437B2 (ja) 2000-05-29 2008-07-16 Jsr株式会社 膜形成用組成物、絶縁膜形成用材料およびシリカ系膜
JP2002020689A (ja) 2000-07-07 2002-01-23 Jsr Corp 膜形成用組成物の製造方法、膜形成用組成物、膜の形成方法およびシリカ系膜
JP3797073B2 (ja) * 2000-08-07 2006-07-12 日本電気株式会社 高密度実装用配線基板およびその製造方法
JP4442065B2 (ja) * 2000-08-31 2010-03-31 住友ベークライト株式会社 有機絶縁膜用材料及び有機絶縁膜
JP3492316B2 (ja) 2000-09-22 2004-02-03 住友ベークライト株式会社 絶縁膜用材料、絶縁膜用コーティングワニス及びこれらを用いた絶縁膜並びに半導体装置
JP2002222860A (ja) * 2001-01-29 2002-08-09 Sony Corp 半導体装置の作成方法
JP2002299441A (ja) * 2001-03-30 2002-10-11 Jsr Corp デュアルダマシン構造の形成方法
US6503835B1 (en) * 2001-08-28 2003-01-07 Silicon Integrated Systems, Corp. Method of making an organic copper diffusion barrier layer
JP4513249B2 (ja) * 2001-09-28 2010-07-28 Jsr株式会社 銅ダマシン構造の製造方法
CN100375265C (zh) * 2002-04-02 2008-03-12 陶氏环球技术公司 用于图形化双波纹互连的三层掩膜结构
JP2003303880A (ja) * 2002-04-10 2003-10-24 Nec Corp 積層層間絶縁膜構造を利用した配線構造およびその製造方法
DE10228770A1 (de) * 2002-06-27 2004-02-12 Infineon Technologies Ag Dielektrikum mit Sperrwirkung gegen Kupferdiffusion
JP4005873B2 (ja) * 2002-08-15 2007-11-14 株式会社東芝 半導体装置
DE10238024B4 (de) * 2002-08-20 2007-03-08 Infineon Technologies Ag Verfahren zur Integration von Luft als Dielektrikum in Halbleitervorrichtungen
JP2004146798A (ja) * 2002-09-30 2004-05-20 Sanyo Electric Co Ltd 半導体装置およびその製造方法

Also Published As

Publication number Publication date
JPWO2005114724A1 (ja) 2008-03-27
CN1957459A (zh) 2007-05-02
EP1760774A1 (en) 2007-03-07
US7608928B2 (en) 2009-10-27
WO2005114724A1 (ja) 2005-12-01
US20080044664A1 (en) 2008-02-21
EP1760774A4 (en) 2011-08-03
TW200609118A (en) 2006-03-16

Similar Documents

Publication Publication Date Title
CN100481378C (zh) 层叠体及半导体装置
TW522158B (en) Composition comprising a poly (arylene ether) polymer having a graft, methods of crosslinking and synthesizing said polymer
KR101157471B1 (ko) 막형성용 조성물, 절연재료 형성용 조성물, 절연막 및 전자디바이스
CN101268119B (zh) 树脂组合物、清漆、树脂膜及半导体装置
EP2000510B1 (en) Resin composition, varnish, resin film, and semiconductor device using the resin film
KR20020075876A (ko) 저유전상수 중합체 물질용 폴리카르보실란 접착 촉진제
TWI380323B (zh)
JP3442049B2 (ja) 耐熱性樹脂前駆体、耐熱性樹脂及び絶縁膜並びに半導体装置
JP4852864B2 (ja) ポリエステル化合物、ポリベンゾオキサゾール樹脂、ワニス、樹脂膜および半導体装置
TWI254716B (en) Semiconductor device and semiconductor package
JP2003041184A (ja) 耐熱性ポリエーテル、熱硬化性ポリエーテル、およびポリエーテル膜形成用塗布液。
JP2005529983A (ja) 有機組成物
KR20070022775A (ko) 적층체 및 반도체 장치
JP4730724B2 (ja) ボラジン系樹脂の製造方法
JP4730723B2 (ja) ボラジン系樹脂の製造方法
JP5540416B2 (ja) ボラジン系樹脂組成物及びその製造方法、絶縁被膜及びその形成方法、並びに電子部品
CN109715707A (zh) 桥联有机硅树脂、膜、电子器件以及相关方法
TWI836565B (zh) 硬罩幕組成物、硬罩幕層和形成圖案的方法
JPWO2005019305A1 (ja) ベンゾオキサゾール樹脂前駆体、ポリベンゾオキサゾール樹脂、樹脂膜および半導体装置
JP2001072927A (ja) 膜形成用塗布液および絶縁膜
JP5550118B2 (ja) ボラジン系樹脂組成物、絶縁被膜及びその形成方法
US20060099819A1 (en) Low dielectric constant compositions and methods of use thereof
JP5407851B2 (ja) 膜形成用組成物、絶縁膜および半導体装置
JP5540784B2 (ja) 膜形成用組成物入り容器
JP2003268233A (ja) 絶縁膜用材料、絶縁膜用コーティングワニス、絶縁膜、及びこれを用いた半導体装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20090422

Termination date: 20180520

CF01 Termination of patent right due to non-payment of annual fee