BR112018004937B1 - Estrutura de varactor simétrico e método para fabricar uma estrutura de varactor simétrico - Google Patents

Estrutura de varactor simétrico e método para fabricar uma estrutura de varactor simétrico Download PDF

Info

Publication number
BR112018004937B1
BR112018004937B1 BR112018004937-9A BR112018004937A BR112018004937B1 BR 112018004937 B1 BR112018004937 B1 BR 112018004937B1 BR 112018004937 A BR112018004937 A BR 112018004937A BR 112018004937 B1 BR112018004937 B1 BR 112018004937B1
Authority
BR
Brazil
Prior art keywords
varactor
component
symmetric
varactor component
layer
Prior art date
Application number
BR112018004937-9A
Other languages
English (en)
Other versions
BR112018004937A2 (pt
Inventor
Daeik Daniel Kim
David Francis Berdy
Je-Hsiung Jeffrey Lan
Changhan Hobie Yun
Jonghae Kim
Original Assignee
Qualcomm Incorporated
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Qualcomm Incorporated filed Critical Qualcomm Incorporated
Publication of BR112018004937A2 publication Critical patent/BR112018004937A2/pt
Publication of BR112018004937B1 publication Critical patent/BR112018004937B1/pt

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/0805Capacitors only
    • H01L27/0808Varactor diodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76264SOI together with lateral isolation, e.g. using local oxidation of silicon, or dielectric or polycristalline material refilled trench or air gap isolation regions, e.g. completely isolated semiconductor islands
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66083Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by variation of the electric current supplied or the electric potential applied, to one or more of the electrodes carrying the current to be rectified, amplified, oscillated or switched, e.g. two-terminal devices
    • H01L29/66174Capacitors with PN or Schottky junction, e.g. varactors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66083Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by variation of the electric current supplied or the electric potential applied, to one or more of the electrodes carrying the current to be rectified, amplified, oscillated or switched, e.g. two-terminal devices
    • H01L29/66181Conductor-insulator-semiconductor capacitors, e.g. trench capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/86Types of semiconductor device ; Multistep manufacturing processes therefor controllable only by variation of the electric current supplied, or only the electric potential applied, to one or more of the electrodes carrying the current to be rectified, amplified, oscillated or switched
    • H01L29/92Capacitors having potential barriers
    • H01L29/93Variable capacitance diodes, e.g. varactors

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Optical Modulation, Optical Deflection, Nonlinear Optics, Optical Demodulation, Optical Logic Elements (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

estrutura de varactor simétrico e método para fabricar uma estrutura de varactor simétrico. uma estrutura de varactor simétrico pode incluir um primeiro componente de varactor. o primeiro componente de varactor pode incluir uma comporta operando como uma segunda placa, uma camada de óxido de comporta operando como uma camada dielétrica e um corpo operando como uma primeira placa de um capacitor de modulação de área. além disso, regiões dopadas podem circundar o corpo do primeiro componente de varactor. o primeiro componente de varactor pode ser sustentado em um lado traseiro por uma camada de isolamento. a estrutura de varactor simétrico pode incluir também um segundo componente de varactor eletricamente acoplado ao lado traseiro do primeiro componente de varactor através de uma camada condutiva traseira.

Description

FUNDAMENTOS Campo
[0001] Aspectos da presente revelação se referem a dispositivos semicondutores e mais particularmente a uma estrutura de varactor simétrico acoplada ao lado traseiro.
Fundamentos
[0002] O fluxo de processo para fabricação de semicondutor de circuitos integrados (ICs) pode incluir processos de extremidade dianteira de linha (FEOL), meio de linha (MOL) e extremidade posterior de linha (BEOL). O processo de extremidade dianteira de linha pode incluir preparação de pastilha, isolamento, formação de cavidade, padronização de gate, espaçador, extensão e implantação de fonte/dreno, formação de silicieto e formação de revestimento de tensão dual. O processo de meio de linha pode incluir formação de contato de gate. Camadas de meio de linha podem incluir, porém não são limitadas a contatos de meio de linha, vias ou outras camadas na proximidade estreita aos transistores de dispositivo semicondutor ou outros dispositivos ativos similares. O processo de extremidade posterior de linha pode incluir uma série de etapas de processamento de pastilha para interconectar os dispositivos semicondutores criados durante os processos de extremidade dianteira de linha e meio de linha. A fabricação bem-sucedida de produtos de chip de semicondutor modernos envolve uma interação entre os materiais e os processos empregados.
[0003] Designs de chip de radiofrequência (RF) móvel (por exemplo, transceptores RF móveis) migraram para um nó de processo de sub-micron profundo devido a considerações de consumo de energia e custo. A complexidade de design de transceptores RF móveis é adicionalmente complicada por função de circuito adicionado para suportar aperfeiçoamentos de comunicação. Desafios de design adicionais para transceptores RF móveis incluem considerações de desempenho de RF/análogo, incluindo descasamento, ruído e outras considerações de desempenho. O design desses transceptores RF móveis inclui o uso de uma capacitância de tensão controlada e/ou um capacitor sintonizável (por exemplo, um varactor) para, por exemplo, fornecer um oscilador de tensão controlada. Varactors podem ser também conhecidos como diodos de capacitância.
Sumário
[0004] Uma estrutura de varactor simétrico pode incluir um primeiro componente de varactor. O primeiro componente de varactor pode incluir um gate operando como uma segunda placa, uma camada de óxido de gate operando como uma camada dielétrica e um corpo operando como uma primeira placa de um capacitor de modulação de área. Além disso, regiões dopadas podem circundar o corpo do primeiro componente de varactor. O primeiro componente de varactor pode ser suportado em um lado traseiro por uma camada isolante. A estrutura de varactor simétrico pode incluir também um segundo componente de varactor eletricamente acoplado ao lado traseiro do primeiro componente de varactor através de uma camada condutora traseira.
[0005] Um método para fabricar uma estrutura de varactor simétrico inclui fabricar um primeiro componente de varactor adjacente a um segundo componente de varactor da estrutura de varactor simétrico em uma camada isolante. O método também inclui afinar a estrutura de varactor simétrico para expor um corpo do primeiro componente de varactor e um corpo do segundo componente de varactor. O método inclui ainda depositar e padronizar uma camada condutora para acoplar o corpo do primeiro componente de varactor e o corpo do segundo componente de varactor.
[0006] Uma estrutura de varactor simétrico pode incluir um primeiro componente de varactor. O primeiro componente de varactor pode incluir um gate operando como uma segunda placa, uma camada de óxido de gate operando como uma camada dielétrica e um corpo operando como uma primeira placa de um capacitor de modulação de área. Além disso, regiões dopadas podem circundar o corpo do primeiro componente de varactor. O primeiro componente de varactor pode ser suportado em um lado traseiro por uma camada isolante. A estrutura de varactor simétrico pode incluir também um segundo componente de varactor. A estrutura de varactor simétrico pode incluir ainda um meio para eletricamente acoplar o segundo componente de varactor ao lado traseiro do primeiro componente de varactor.
[0007] Isso delineou, bem amplamente, as vantagens técnicas e características da presente revelação para que a descrição detalhada que segue possa ser mais bem entendida. Características e vantagens adicionais da revelação serão descritas abaixo. Deve ser reconhecido por aqueles versados na técnica que essa revelação pode ser prontamente utilizada como uma base para modificar ou projetar outras estruturas para realizar as mesmas finalidades da presente revelação. Deve ser também percebido por aqueles versados na técnica que tais construções equivalentes não se afastam dos ensinamentos da revelação como exposto nas reivindicações apensas. As características novas, que se acredita serem características da revelação, tanto em relação a sua organização como método de operação, juntamente com objetivos e vantagens adicionais, serão mais bem entendidas a partir da seguinte descrição quando considerada com relação às figuras em anexo. Deve ser expressamente entendido, entretanto, que cada das figuras é fornecida para fins de ilustração e descrição somente e não é destinada como uma definição dos limites da presente revelação.
BREVE DESCRIÇÃO DOS DESENHOS
[0008] Para uma compreensão mais completa da presente revelação, é feita agora referência à seguinte descrição tomada em combinação com os desenhos em anexo.
[0009] A figura 1 ilustra uma vista em perspectiva de uma pastilha de semicondutor em um aspecto da presente relação.
[00010] A figura 2 ilustra uma vista em seção transversal de uma matriz de acordo com um aspecto da presente revelação.
[00011] A figura 3 ilustra um varactor de acordo com um aspecto da presente revelação.
[00012] A figura 4 ilustra uma estrutura de varactor simétrico de acordo com aspectos da presente revelação.
[00013] A figura 5 é um fluxograma de processo ilustrando um método para fabricar uma estrutura de varactor simétrico de acordo com um aspecto da presente revelação.
[00014] A figura 6 é um diagrama de blocos mostrando um sistema de comunicação sem fio exemplificador no qual uma configuração da revelação pode ser vantajosamente empregada.
[00015] A figura 7 é um diagrama de blocos ilustrando uma estação de trabalho de design usada para circuito, layout, e design lógico de um componente semicondutor de acordo com uma configuração.
DESCRIÇÃO DETALHADA
[00016] A descrição detalhada exposta abaixo, com relação aos desenhos apensos, é destinada como uma descrição de várias configurações e não pretende representar as únicas configurações nas quais os conceitos descritos aqui podem ser postos em prática. A descrição detalhada inclui detalhes específicos para fins de fornecer uma compreensão completa dos vários conceitos. Será evidente para aqueles versados na técnica, entretanto, que esses conceitos podem ser postos em prática sem esses detalhes específicos. Em algumas ocorrências, estruturas e componentes bem conhecidos são mostrados em forma de diagrama de blocos para evitar obscurecer tais conceitos. Como descrito aqui, o uso do termo “e/ou” pretende representar um “OU inclusivo” e o uso do termo “ou” pretende representar um “OU exclusivo.”
[00017] Designs de chip de radiofrequência (RF) móveis (por exemplo, transceptores de RF móveis) migraram para um nó de processo de sub-micron profundo devido a considerações de consumo de energia e custo. A complexidade de design de transceptores RF móveis é adicionalmente complicada por funções de circuito adicionado para suportar aperfeiçoamentos de comunicação, como agregação de portadora. Desafios de design adicionais para transceptores RF móveis incluem considerações de desempenho RF/análogo, incluindo descasamento, ruído e outras considerações de desempenho. O design desses transceptores RF móveis inclui o uso de uma capacitância de tensão controlada e/ou um capacitor sintonizável (por exemplo, um varactor), por exemplo, para fornecer um oscilador de tensão controlada. Varactors podem ser também conhecidos como diodos de capacitância variável.
[00018] Um varactor é um exemplo de um dispositivo elétrico usado para armazenar energia (por exemplo, carga) em um campo elétrico entre placas de capacitor estreitamente espaçadas de acordo com um valor de capacitância. Esse valor de capacitância fornece uma medição da quantidade de carga armazenada pelo capacitor em certa tensão. Além de sua capacidade de armazenagem de carga, capacitores são também úteis como filtros eletrônicos porque permitem diferenciação entre sinais de alta frequência e baixa frequência. Em um varactor convencional, entretanto, uma largura de placa modula para variar um campo elétrico formado entre as placas de capacitor. Esse varactor comprova uma capacitância eletricamente controlável que pode ser usada em circuitos sintonizados. Embora o uso de varactors seja vantajoso em muitas aplicações (por exemplo, devido a tamanho pequeno e custo reduzido), varactors apresentam em geral um fator-(Q) de qualidade inferior e não linearidade porque varactors são dispositivos assimétricos.
[00019] Linearidade é um fator importante em design de chip RF móvel. Linearidade pode se referir a um comportamento de circuito no qual um sinal de saída varia em proporção direta para um sinal de entrada. Em um dispositivo linear, a razão de amplitude de sinal de saída para entrada deve ser igual, não importa a intensidade do sinal de entrada. Como observado, um varactor é um exemplo de dispositivo assimétrico. Por exemplo, varactors de semicondutor de óxido de metal complementar (CMOS) padrão convencionais não podem implementar um varactor simétrico total. Essa falta de simetria faz com que varactors CMOS padrão gerem harmônica de segunda e terceira ordem que resultam em vazamento de sinal quando usado em sistemas RF. Em particular, o uso de dispositivos assimétricos em sistemas RF resulta em não linearidade a partir dos dispositivos, que proíbe capacidade de sintonização de sistema RF.
[00020] Vários aspectos da revelação fornecem técnicas para fabricação de um varactor simétrico acoplado ao lado traseiro. O fluxo de processo para fabricação de semicondutor de um varactor simétrico acoplado ao lado traseiro pode incluir processos de extremidade dianteira de linha (FEOL), processos de meio de linha (MOL) e processos de extremidade traseira de linha (BEOL). Será entendido que o termo “camada” inclui filme e não deve ser interpretado como indicando uma espessura vertical ou horizontal a menos que mencionado de outro modo. Como descrito aqui, o termo “substrato” pode se referir a um substrato de uma pastilha cortada ou pode se referir a um substrato de uma pastilha que não é cortada. Similarmente, os termos chip e matriz podem ser usados de modo intercambiável a menos que tal troca taxaria a credulidade.
[00021] Aspectos da presente revelação descrevem uma estrutura de varactor simétrico. Em uma disposição, um primeiro componente de varactor inclui uma gate operando como uma primeira placa, uma camada de óxido de gate operando como uma camada dielétrica e um corpo operando como uma segunda placa de um capacitor de modulação de área de placa. Além disso, regiões dopadas circundam o corpo, e o primeiro componente de varactor é suportado em um lado traseiro por uma camada isolante. Nesse aspecto da presente revelação, o varactor inclui um capacitor de modulação de área na área de placa fornecida pelo corpo do varactor que modula com base em uma tensão de polarização recebida de portas de controle para controlar o capacitor de modulação de área de placa. Além disso, um segundo componente de varactor é eletricamente acoplado ao lado traseiro do primeiro varactor através de uma camada condutora de lado traseiro que cancela a harmônica de segunda ordem causada por um componente de varactor único.
[00022] Nessa disposição, o segundo componente de varactor pode ser um varactor duplicata (por exemplo, siamês) no qual um corpo do segundo componente de varactor é acoplado ao corpo do primeiro componente de varactor para fornecer um varactor simétrico. Por separar as portas de sinal e controle para o primeiro e o segundo componentes de varactor, a conexão de lado traseiro permite um varactor simétrico que cancela qualquer harmônica de segunda ordem em um sistema RF. Além disso, uma capacidade de capacitor de modulação de área de placa do primeiro e do segundo componentes de varactor fornece linearidade de capacitor aperfeiçoada. Além disso, portas de controle e sinal separadas permitem controle mais alto versus isolamento de sinal e linearidade. Além disso, um fator-Q alto do varactor simétrico acoplado ao lado traseiro é fornecido por uma espessura aumentada da camada condutora traseira. Uma estrutura de varactor simétrico, embora incorrendo uma penalidade de área devido ao primeiro e segundo componentes de varactor, pode exibir um aperfeiçoamento de fator-Q.
[00023] A figura 1 ilustra uma vista em perspectiva de uma pastilha de semicondutor em um aspecto da presente revelação. Uma pastilha 100 pode ser uma pastilha de semicondutor, ou pode ser um material de substrato com uma ou mais camadas de material de semicondutor em uma superfície da pastilha 100. Quando a pastilha 100 é um material semicondutor, pode ser crescida de um cristal de semente usando o processo Czochralski, onde o cristal de semente é mergulhado em um banho derretido de material semicondutor e lentamente girado e retirado do banho. O material derretido então cristaliza sobre o cristal de semente na orientação do cristal.
[00024] A pastilha 100 pode ser um material de composto, como arsenieto de gálio (GaAs) ou nitreto de gálio (GaN), um material ternário como arsenieto de gálio índio (InGaAs), materiais quaternários, ou qualquer material que possa ser um material de substrato para outros materiais semicondutores. Embora muitos dos materiais possam ser de natureza cristalina, materiais amorfos ou policristalinos também podem ser usados para a pastilha 100.
[00025] A pastilha 100 ou camadas que são acopladas à pastilha 100, pode ser fornecida com materiais que tornam a pastilha 100 mais condutora. Por exemplo, e não como limitação, uma pastilha de silício pode ter fósforo ou boro adicionado à pastilha 100 para permitir que carga elétrica flua na pastilha 100. Esses aditivos são mencionados como dopantes, e fornecem portadores de carga extra (elétrons ou furos) na pastilha 100 ou porções da pastilha 100. Por selecionar as áreas onde os portadores de carga extra são fornecidos, qual tipo de portadores de carga são fornecidos, e a quantidade (densidade) de portadores de carga adicional na pastilha 100, tipos diferentes de dispositivos eletrônicos podem ser formados em ou sobre a pastilha 100.
[00026] A pastilha 100 tem uma orientação 102 que indica a orientação cristalina da pastilha 100. A orientação 102 pode ser uma borda achatada da pastilha 100 como mostrado na figura 1, ou pode ser um entalhe ou outro sinal para ilustrar a orientação cristalina da pastilha 100. A orientação 102 pode indicar os Índices Miller para os planos da treliça de cristal na pastilha 100.
[00027] Após a pastilha 100 ter sido processada como desejado, a pastilha 100 é dividida ao longo de linhas de corte 104. As linhas de corte 104 indicam onde a pastilha 100 deve ser quebrada ou separada em pedaços. As linhas de corte 104 podem definir o contorno dos vários circuitos integrados que foram fabricados na pastilha 100.
[00028] Após as linhas de corte 104 serem definidas, a pastilha 100 pode ser serrada ou de outro modo separada em pedaços para formar a matriz 106. Cada da matriz 106 pode ser um circuito integrado com muitos dispositivos ou pode ser um dispositivo eletrônico único. O tamanho físico da matriz 106, que também pode ser mencionado como um chip ou um chip semicondutor, depende pelo menos em parte da capacidade de separar a pastilha 100 em certos tamanhos, bem como o número de dispositivos individuais que a matriz 106 é projetada para conter.
[00029] Após a pastilha 100 ter sido separada em uma ou mais matriz 106, a matriz 106 pode ser montada em embalagem para permitir acesso aos dispositivos e/ou circuitos integrados fabricados na matriz 106. A embalagem pode incluir embalagem em linha única, embalagem em linha dual, embalagem de placa-mãe, embalagem de flip-chip, embalagem de choque/ponto de índio, ou outros tipos de dispositivos que fornecem acesso à matriz 106. A matriz 106 pode ser também diretamente acessada através de ligação por fio, sondas, ou outras conexões sem montagem da matriz 106 em um pacote separado.
[00030] A figura 2 ilustra uma vista em seção transversal de uma matriz 106 de acordo com um aspecto da presente revelação. Na matriz 106, pode haver um substrato 200, que pode ser um material semicondutor e/ou pode atuar como um suporte mecânico para dispositivos eletrônicos. O substrato 200 pode ser um substrato semicondutor dopado, que tem carregadores de carga de elétrons (designados canal0N) ou furos (designados canal-P) presentes por todo o substrato 200. Dopagem subsequente do substrato 200 com átomos/íons de portador de carga pode mudar as capacidades de transporte de carga do substrato 200.
[00031] Em um substrato 200 (por exemplo, um substrato de semicondutor), podem haver cavidades 202 e 204, que podem ser a fonte e/ou dreno de um transistor de efeito de campo (FET) ou cavidades 202 e/ou 204 podem ser estruturas de aleta de uma FET estruturada em aleta (FinFET). Cavidades 202 e/ou 204 também podem ser outros dispositivos (por exemplo, um resistor, um capacitor, um diodo, ou outros dispositivos eletrônicos) dependendo da estrutura e outras características das cavidades 202 e/ou 204 e a estrutura circundante do substrato 200.
[00032] O substrato de semicondutor pode ter também uma cavidade 206 e uma cavidade 208. A cavidade 208 pode estar totalmente no interior da cavidade 206 e em alguns casos, pode formar um transistor de junção bipolar (BJT). A cavidade 206 também pode ser usada como uma cavidade de isolamento para isolar a cavidade 208 a partir de campos elétricos e/ou magnéticos na matriz 106.
[00033] Camadas (por exemplo, 210 até 2140 podem ser adicionadas à matriz 106. A camada 210 pode ser, por exemplo, uma camada isolante ou óxido que pode isolar as cavidades (por exemplo, 202-208) entre si ou de outros dispositivos na matriz 106. Em tais casos, a camada 210 pode ser dióxido de silício, um polímero, um dielétrico ou outra camada eletricamente isolante. A camada 210 pode ser também uma camada de interconexão, em cujo caso pode compreender um material condutor como cobre, tungstênio, alumínio, uma liga, ou outros materiais condutores ou metálicos.
[00034] A camada 212 pode ser também uma camada dielétrica ou condutora, dependendo das características de dispositivo desejadas e/ou dos materiais das camadas (por exemplo, 210 e 215). A camada 214 pode ser uma camada de encapsulação, que pode proteger as camadas (por exemplo, 210 e 212), bem como as cavidades 202-208 e o substrato 200, de forças externas. Por exemplo, e não como limitação, a camada 214 pode ser uma camada que protege a matriz 106 contra dano mecânico, ou a camada 214 pode ser uma camada de material que protege a matriz 106 contra dano por radiação ou eletromagnético.
[00035] Dispositivos eletrônicos projetados na matriz 106 podem compreender muitas características ou componentes estruturais. Por exemplo, a matriz 106 pode ser exposta a qualquer número de métodos para transmitir dopantes no substrato 200, as cavidades 202-208 e, se desejado, as camadas (por exemplo, 210-214). Por exemplo, e não como limitação, a matriz 106 pode ser exposta à implantação de íons, deposição de átomos dopantes que são impulsionados em uma treliça cristalina através de um processo de difusão, deposição de vapor químico, crescimento epitaxial ou outros métodos. Através do crescimento seletivo, seleção de material e remoção de porções das camadas (por exemplo, 210-214), e através de remoção seletiva, seleção de material e concentração de dopante do substrato 200 e cavidades 202-208, muitas estruturas e dispositivos eletrônicos diferentes podem ser formados no escopo da presente revelação.
[00036] Além disso, o substrato 200, as cavidades 202-208, e as camadas (por exemplo, 210-214) podem ser seletivamente removidas ou adicionadas através de vários processos. Gravura úmida química, planarização mecânica química (CMP), gravura por plasma, mascaramento fotoresist, processos damascenos e outros métodos podem criar as estruturas e dispositivos da presente revelação.
[00037] A figura 3 ilustra um varactor de semicondutor de óxido de metal complementar (CMOS) 300 de acordo com um aspecto da presente revelação. De modo representativo, o varactor CMOS 300 inclui um gate operando como uma segunda placa 314, uma camada de óxido de gate operando como uma camada dielétrica 313 e um corpo operando como uma primeira placa 312 de um capacitor de metal- isolador-metal (MIM) 310. Além disso, uma primeira região dopada 316 e uma segunda região dopada 318 circundam a primeira placa 312 (por exemplo, o corpo) para modular uma distância entre a primeira placa 312 e a segunda placa 314 do capacitor MIM 310 para fornecer uma capacitância variável. O varactor CMOS 300 é suportado em um lado traseiro por uma camada isolante 304 (por exemplo, uma camada de óxido enterrada) em um substrato 302 (por exemplo, manipular silicone (Si)). No varactor de CMOS 300, uma largura de placa modula para variar um campo elétrico formado entre as placas de capacitor ajustando uma distância entre a primeira placa 312 e a segunda placa 314.
[00038] Como mostrado na figura 3, a primeira placa 312 é modulada para variar um campo elétrico formado entre a primeira placa 312 e a segunda placa 314 de acordo com um nó de entrada 315 e nós de saída 317 e 319. Uma capacitância do capacitor MIM 310 é controlada em geral por uma espessura da camada dielétrica 313. No varactor de CMOS 300, entretanto, a capacitância é ajustada de acordo com uma inversão e depleção entre o nó de entrada 315 e nós de saída 317 e 319, que opera eficazmente como um diodo. Infelizmente, esse diodo de capacitância variável, que opera por variar uma distância entre a primeira placa 312 e a segunda placa 314 é não linear.
[00039] Além disso, o varactor de CMOS 300 também exibe um diodo parasítico 320 entre o substrato 302 e a camada isolante 304. O diodo parasítico 320 é devido a um processo de ligação usado para unir o substrato 302 e a camada isolante 304. Como resultado, o diodo parasítico 320 cobre uma pastilha inteira, que afeta todos os dispositivos transportados pela pastilha. O diodo exibido pelo capacitor MIM 310 e diodo parasítico 320 proíbe o varactor de CMOS 300 de obter simetria. Isto é, qualquer sinal de entrada recebido pelo varactor de CMOS 300 é distorcido independente da intensidade do sinal.
[00040] Infelizmente, a assimetria do varactor de CMOS 300 gera harmônica de segunda, terceira e quarta ordem, o que causa vazamento de sinal quando usado em sistemas RF. Em particular, o uso de dispositivos assimétricos em sistemas RF resulta em não linearidade a partir dos dispositivos assimétricos, que proíbe capacidade de sintonização do sistema RF. Por exemplo, quando o varactor de CMOS 300 é usado em um transceptor RF que suporta agregação de portadora, a harmônica artificial pode sobrepor com bandas de canal usada para as múltiplas funcionalidades de transmissão e recebimento de agregação de portadora. Isto é, a harmônica de segunda ordem pode sobrepor com uma segunda banda e a harmônica de terceira ordem pode sobrepor com uma terceira banda usada para agregação de portadora.
[00041] A figura 4 ilustra uma estrutura de varactor simétrico 400 de acordo com aspectos da presente revelação. Nesse aspecto da revelação, um primeiro componente de varactor 410 é disposto adjacente a um segundo componente de varactor 420 em uma configuração siamesa. A simetria fornecida pela estrutura de varactor simétrico 400 na configuração siamesa cancela a harmônica de segunda ordem. A estrutura de varactor simétrico 400 fornece um varactor simétrico através de uma camada condutora traseira 430 acoplando o primeiro componente de varactor 410 ao segundo componente de varactor 420.
[00042] Um processo de interconexão condutora traseira e afinamento pode formar a camada condutora traseira 430. A camada condutora traseira 430 eletricamente acopla o primeiro componente de varactor 410 ao segundo componente de varactor 420 para fornecer um varactor simétrico com um custo reduzido e um fator-Q aumentado. Por exemplo, uma camada de interconexão condutora (por exemplo, um cobre com 20 mícron de espessura (Cu)) provê uma interconexão condutora traseira baseada em filme fino (por exemplo, um traço de cobre) com resistência reduzida. Perda de sinal lateral é reduzida por conectar um corpo (B) do primeiro componente de varactor 410 a um corpo B do segundo componente de varactor 420. A redução de perda de sinal lateral em regiões ativas da estrutura de varactor simétrico é importante porque a perda de sinal lateral degrada o fator-Q.
[00043] De modo representativo, o primeiro componente de varactor 410 inclui um gate (G) operando como uma segunda placa 414, uma camada de óxido de gate (Gox) operando como uma camada dielétrica 413 e um corpo (B) operando como uma primeira placa 412 de um capacitor de modulação de área. O primeiro componente de varactor 410 também inclui uma primeira região dopada 416 e uma segunda região dopada 418 circundando a primeira placa 412 (por exemplo, o corpo B) do primeiro componente de varactor 410. Nessa disposição, o primeiro componente de varactor 410 é suportado em um lado traseiro por uma camada isolante 406. A camada isolante 406 pode ser uma camada de óxido enterrado (BOX).
[00044] Nesse aspecto da revelação, o segundo componente de varactor 420 é eletricamente acoplado ao lado traseiro do primeiro componente de varactor 410 através da camada condutora traseira 430. O segundo componente de varactor 420 inclui um gate (G) operando como uma segunda placa 424, uma camada de óxido de gate (Gox) operando como uma camada dielétrica 423 e um corpo (B) operando como uma primeira placa 422 de um capacitor de modulação de área. O segundo componente de varactor 420 também inclui uma primeira região dopada 426 e uma segunda região dopada 428 circundando a primeira placa 422 (por exemplo, o corpo B) do segundo componente de varactor 420. Nessa disposição, o segundo componente de varactor 420 também é suportado em um lado traseiro pela camada isolante 406.
[00045] O varactor acoplado ao lado traseiro também inclui uma primeira porta de sinal 440 acoplada a um contato de gate 415 do gate G do primeiro componente de varactor 410. Além disso, uma primeira porta de controle 450 é acoplada a um primeiro contato de difusão 417 da primeira região dopada 416, e um segundo contato de difusão 419 da segunda região dopada 418. Nessa disposição, a primeira porta de sinal 440 é isolada da primeira porta de controle 450. O varactor acoplado ao lado traseiro inclui ainda uma segunda porta de sinal 442 acoplada a um contato de gate 425 do gate G do segundo componente de varactor 420. Além disso, uma segunda porta de controle 452 é acoplada a um primeiro contato de difusão 427 da primeira região dopada 426, e um segundo contato de difusão 429 da segunda região dopada 428. Nessa disposição, a segunda porta de sinal 442 também é isolada da segunda porta de controle 452. O sinal de entrada para a primeira porta de sinal 440 e/ou a segunda porta de sinal 442 pode ser um sinal RF. Além disso, um sinal de controle para a primeira porta de controle 450 e/ou a segunda porta de controle 452 pode ser um sinal de controle de CC.
[00046] Nessa disposição, a segunda região dopada 418 do primeiro componente de varactor 410 é separada da primeira região dopada 426 do segundo componente de varactor 420 por uma região de isolamento de vala rasa (STI) 408. Além disso, a camada condutora traseira 430 é coberta por uma camada de passivação 404 que é ligada a um substrato 402. Nessa disposição, o primeiro componente de varactor 410 e o segundo componente de varactor 420 são suportados por um substrato que pode ser composto de vidro, quartzo, silício, polímero ou outro material isolante similar. Em um aspecto da revelação, a ligação do substrato 402 à camada de passivação 404 elimina o diodo parasítico 320 associado ao varactor de CMOS 300 mostrado na figura 3.
[00047] Em operação, uma área de placa fornecida pela primeira placa 412 do primeiro componente de varactor 410 modula com base em uma tensão de polarização recebida da primeira porta de controle 450. Similarmente, uma área de placa fornecida pela primeira placa 422 do segundo componente de varactor 420 modula com base em uma tensão de polarização recebida da segunda porta de controle 452. Por exemplo, uma abertura através do corpo B do primeiro componente de varactor 410 modula de acordo com a tensão de polarização aplicada à primeira região dopada 416 e a segunda região dopada 418 para ter pouco efeito sobre um sinal que passa através do corpo B.
[00048] Nessa disposição, o corpo B pode ser fabricado como um corpo flutuante parcialmente esgotado. Essa disposição fornece uma capacitância variável enquanto mantém a largura da camada dielétrica 413 e camada dielétrica 423. Isto é, em contraste com a modulação de uma distância entre uma primeira placa e uma segunda placa como no varactor de CMOS 300 da figura 3, a distância entre a primeira placa 412 e a segunda placa 414 bem como a primeira placa 422 e a segunda placa 424 é mantida. A modulação de área de placa fornecida pela primeira placa 412 e segunda placa 424 reduz perda de trajetória de sinal a partir das regiões de difusão (por exemplo, 416, 418, 426, 428) e os contatos (por exemplo, 417, 419, 427, 429). Por evitar perda de trajetória de sinal, a estrutura de varactor simétrico 400 fornece tanto simetria como linearidade para permitir um dispositivo sintonizável RF de alto desempenho.
[00049] A estrutura de varactor simétrico 400, incluindo o primeiro componente de varactor 410 e o segundo componente de varactor 420, pode ser integrada em um circuito para permitir um dispositivo sintonizável RF de alto desempenho. O circuito pode incluir, porém não é limitado a um amplificador de potência (PA) um oscilador (por exemplo, um oscilador de tensão controlada (VCO)), um sintonizador RF, um transceptor RF, um multiplexor, uma matriz de circuito RF ou outro circuito de comunicação RF similar, como um comutador RF. A estrutura de varactor simétrico 400 pode apresentar linearidade bem como um aperfeiçoamento de fator-Q substancial quando integrado em um transceptor RF móvel.
[00050] Embora mostrado na disposição da figura 4, deve ser reconhecido que a estrutura de varactor simétrico 400 não é limitada a essa disposição. Além disso, em contraste com os nós de processo em diminuição constante, a estrutura de varactor simétrico 400 pode ser fabricada em um nó de processo maior. Por exemplo, a estrutura de varactor simétrico 400 pode ser fabricada usando um nó de processo de cento e oitenta (180) nanômetros. Como resultado, uma penalidade de área incorrida pelo primeiro componente de varactor 410 e o segundo componente de varactor 420 da estrutura de varactor simétrico 400 é desprezível e, portanto, é sacrificada em favor de linearidade aperfeiçoada.
[00051] A figura 5 é um fluxograma ilustrando um método 500 de fabricar uma estrutura de varactor simétrico de acordo com aspectos da revelação. No bloco 502, um primeiro componente de varactor é fabricado adjacente a um segundo componente de varactor de uma estrutura de varactor acoplada ao lado traseiro. Por exemplo, como mostrado na figura 4, um lado traseiro do primeiro componente de varactor 410 é disposto adjacente ao segundo componente de varactor 420 em uma configuração siamesa. Nessa disposição, o primeiro componente de varactor 410 e o segundo componente de varactor 420 têm a mesma configuração.
[00052] Com referência novamente à figura 5, no bloco 504, a estrutura de varactor é afinada para expor um corpo do primeiro componente de varactor e um corpo do segundo componente de varactor. Por exemplo, como mostrado na figura 4, um lado traseiro da estrutura de varactor simétrico 400 é afinado para expor o corpo B do primeiro componente de varactor 410. Além disso, o afinamento do lado traseiro da estrutura de varactor simétrico 400 também expõe o corpo B do segundo componente de varactor 420. Após exposto, o corpo B do primeiro componente de varactor 410 e o corpo B do segundo componente de varactor 420 podem ser eletricamente acoplados usando uma estrutura de contato traseira simétrica.
[00053] No bloco 506, uma camada condutora é depositada e padronizada para eletricamente acoplar o corpo do primeiro componente de varactor e o corpo do segundo componente de varactor. Como mostrado na figura 4, a camada condutora traseira 430 eletricamente acopla o primeiro componente de varactor 410 ao segundo componente de varactor 420. Em uma disposição, a camada condutora traseira 430 é fabricada usando uma camada de redistribuição. Por exemplo, uma camada de redistribuição (RDL) pode ser depositada e padronizada como a camada condutora traseira 430 para acoplar o corpo B do primeiro componente de varactor 410 e corpo B do segundo componente de varactor 420. Uma camada de passivação 404 pode ser depositada e padronizada na camada condutora traseira 430. A estrutura de varactor simétrico 400 é completada por ligar um substrato 402 à camada de passivação 404. Em um aspecto da revelação, a ligação do substrato 402 à camada de passivação 404 elimina o diodo parasítico 320 associado ao varactor de CMOS 300 mostrado na figura 3.
[00054] A camada condutora traseira 430 fornece uma estrutura de contato traseira simétrica que permite um varactor simétrico com um custo reduzido e fator-Q aumentado. Por exemplo, usar uma camada de interconexão condutora (por exemplo, um cobre com 20 mícron de espessura (Cu)) como a camada condutora traseira 430 fornece uma interconexão condutora traseira baseada em filme fino (por exemplo, um traço de cobre) com resistência reduzida. Perda de sinal lateral é reduzida por conectar um corpo (B) do primeiro componente de varactor 410 a um corpo B do segundo componente de varactor 420. A redução da perda de sinal lateral em regiões ativas da estrutura de varactor simétrico 400 é importante porque a perda de sinal lateral degrada o fator-Q.
[00055] Em uma configuração, uma estrutura de varactor simétrico é descrita. A estrutura de varactor simétrico inclui meio para eletricamente acoplar um segundo componente de varactor a um lado traseiro do primeiro componente de varactor. Em um aspecto da revelação, o meio de acoplamento elétrico é a camada condutora traseira 430 da figura 4, configurada para executar as funções citadas pelo meio de acoplamento elétrico. Em outro aspecto, o meio acima mencionado pode ser um dispositivo ou qualquer camada configurada para executar as funções citadas pelo meio acima mencionado.
[00056] Aspectos da presente revelação descrevem um varactor simétrico acoplado ao lado traseiro. Em uma disposição, um primeiro varactor (também mencionado como um primeiro componente de varactor) inclui um gate operando como uma primeira placa, uma camada de óxido de gate operando como uma camada dielétrica e um corpo operando como uma segunda placa de um capacitor de modulação de área de placa. Além disso, regiões dopadas circundam o corpo, e o primeiro varactor é suportado em um lado traseiro por uma camada isolante. Em um aspecto da presente revelação, o varactor inclui um capacitor de modulação de área na área de placa fornecida pelo corpo do varactor que modula com base em uma tensão de polarização recebida de portas de controle para controlar o capacitor de modulação de área de placa. Além disso, um segundo varactor (também mencionado como um segundo componente de varactor) é eletricamente acoplado ao lado traseiro do primeiro varactor através de uma camada condutora traseira.
[00057] Nessa disposição, o segundo varactor pode ser um varactor duplicata (por exemplo, siamês) no qual um corpo do segundo varactor é acoplado ao corpo do primeiro varactor para fornecer um varactor simétrico. Por separar as portas de sinal e controle para o primeiro e o segundo varactors, a conexão traseira permite um varactor simétrico que cancela qualquer harmônica de segunda ordem em um sistema RF. Além disso, uma capacidade de capacitor de modulação de área de placa do primeiro e segundo varactors fornece linearidade de capacitor aperfeiçoada. Além disso, portas de sinal e controle separadas permitem controle mais alto versus isolamento de sinal e linearidade. Além disso, um fator-Q alto do varactor simétrico acoplado ao lado traseiro é fornecido por uma espessura aumentada da camada condutora traseira. A estrutura de varactor simétrico pode ser fabricada usando um nó de processo de cento e oitenta (180) nanômetros). Como resultado, uma penalidade de área incorrida pelo primeiro componente de varactor e segundo componente de varactor da estrutura de varactor simétrico 400 é desprezível e, portanto, é sacrificada em favor de linearidade aperfeiçoada.
[00058] A figura 6 é um diagrama de blocos mostrando um sistema de comunicação sem fio exemplificador 600 no qual um aspecto da revelação pode ser vantajosamente empregado. Para fins de ilustração, a figura 6 mostra três unidades remotas 620, 630 e 650 e duas estações base 640. Será reconhecido que sistemas de comunicação sem fio podem ter muito mais unidades remotas e estações base. Unidades remotas 620, 630 e 650 incluem dispositivos IC 625A, 625C e 625B que incluem a estrutura de varactor simétrico revelada. Será reconhecido que outros dispositivos podem incluir também a estrutura de varactor simétrico revelada, como as estações base, dispositivos de comutação e equipamento de rede. A figura 6 mostra sinais de link direto 680 a partir da estação base 640 até as unidades remotas 620, 630 e 650 e sinais de link inverso 690 a partir das unidades remotas 620, 630 e 650 até estações base 640.
[00059] Na figura 6, a unidade remota 620 é mostrada como um telefone móvel, a unidade remota 630 é mostrada como um computador portátil e a unidade remota 650 é mostrada como uma unidade remota de localização fixa em um sistema de loop local sem fio. Por exemplo, as unidades remotas 620, 630 e 650 podem ser um telefone móvel, uma unidade de sistema de comunicação pessoal portátil (PCS), uma unidade de dados portátil como um assistente pessoal digital (PDA), um dispositivo habilitado para GPS, um dispositivo de navegação, um decodificador, um reprodutor de música, um reprodutor de vídeo, uma unidade de entretenimento, uma unidade de dados de localização fixa como um equipamento de leitura de medidor, ou um dispositivo de comunicação que armazena ou recupera dados ou instruções de computador, ou combinações dos mesmos. Embora a figura 6 ilustre unidades remotas de acordo com os aspectos da revelação, a revelação não é limitada a essas unidades ilustradas exemplificadoras. Aspectos da revelação podem ser adequadamente empregados em muitos dispositivos, que incluem a estrutura de varactor simétrico revelada.
[00060] A figura 7 é um diagrama de blocos ilustrando uma estação de trabalho de design usada para circuito, layout e design lógico de um componente de semicondutor, como a estrutura de varactor simétrico. Uma estação de trabalho de design 700 inclui um disco rígido 702 contendo software de sistema operacional, arquivos de suporte e software de design como Cadence ou OrCAD. A estação de trabalho de design 700 também inclui um display 704 para facilitar design de um circuito 706 ou um componente de semicondutor 708 como uma estrutura de varactor simétrico. Um meio de armazenamento 710 é fornecido para armazenar de modo tangível o design do circuito 706 ou o componente de semicondutor 708. O design do circuito 706 ou o componente de semicondutor 708 pode ser armazenado no meio de armazenamento 710 em um formato de arquivo como GDSII ou GERBER. O meio de armazenamento 710 pode ser um CD-ROM, DVD, disco rígido, memória flash, ou outro dispositivo apropriado. Além disso, a estação de trabalho de design 700 inclui um aparelho de acionamento 712 para aceitar entrada de ou gravar saída para o meio de armazenamento 710.
[00061] Dados registrados no meio de armazenamento 710 podem especificar configurações de circuito de lógica, dados de padrão para máscaras de fotolitografia, ou dados de padrão de máscara para ferramentas de gravação serial como litografia de feixe de elétrons. Os dados podem incluir ainda dados de verificação lógica como diagramas de temporização ou circuitos de rede associados a simulações de lógica. O fornecimento de dados no meio de armazenamento 710 facilita o design do circuito 706 ou o componente de semicondutor 708 por diminuir o número de processos para projetar pastilhas de semicondutor.
[00062] Para uma implementação de firmware e/ou software, as metodologias podem ser implementadas com módulos (por exemplo, procedimentos, funções e etc.) que executam as funções descritas aqui. Uma mídia legível por máquina incorporando de forma tangível instruções pode ser usada na implementação das metodologias descritas aqui. Por exemplo, códigos de software podem ser armazenados em uma memória e executados por uma unidade de processador. A memória pode ser implementada na unidade de processador ou externa à unidade de processador. Como usado aqui, o termo “memória” se refere a tipos de longo prazo, curto prazo, volátil, não volátil ou outra memória e não deve ser limitado a um tipo específico de memória ou número de memórias, ou tipo de mídia no qual a memória é armazenada.
[00063] Se implementada em firmware e/ou software, as funções podem ser armazenadas como uma ou mais instruções ou código em uma mídia legível por computador. Os exemplos incluem mídia legível por computador codificada com uma estrutura de dados e mídia legível por computador codificada com um programa de computador. Mídia legível por computador inclui meio de armazenamento de computador física. Um meio de armazenamento pode ser um meio disponível que pode ser acessado por um computador. Como exemplo, e não limitação, tal mídia legível por computador pode incluir RAM, ROM, EEPROM, CD-ROM ou outra armazenagem de disco ótico, armazenagem de disco magnético ou outros dispositivos de armazenagem magnética, ou outra mídia que pode ser usada para armazenar código de programa desejado na forma de instruções ou estruturas de dados e que pode ser acessada por um computador, disk e disco, como usado aqui, inclui compact disc (CD), disco laser, disco ótico, digital versatile disc (DVD) e disco Blu-ray onde disks normalmente reproduzem dados magneticamente, enquanto discos reproduzem dados oticamente com lasers. Combinações do acima também devem ser incluídas no escopo de mídia legível por computador.
[00064] Além de armazenagem em mídia legível por computador, instruções e/ou dados podem ser fornecidos como sinais em mídia de transmissão incluída em um aparelho de comunicação. Por exemplo, um aparelho de comunicação pode incluir um transceptor tendo sinais indicativos de instruções e dados. As instruções e dados são configuradas para fazer com que um ou mais processadores implementem as funções delineadas nas reivindicações.
[00065] Embora a presente revelação e suas vantagens tenham sido descritas em detalhe, deve ser entendido que várias alterações, substituições e mudanças podem ser feitas aqui sem se afastar da tecnologia da relação como definido pelas reivindicações apensas. Por exemplo, termos relacionais, como “acima” e “abaixo” são usados com relação a um substrato ou dispositivo eletrônico. Evidentemente, se o substrato ou dispositivo eletrônico for invertido, acima se torna abaixo e vice- versa. Adicionalmente, se orientado para o lado, acima e abaixo pode se referir a lados de um substrato ou dispositivo eletrônico. Além disso, o escopo do presente pedido não pretende ser limitado às configurações específicas do processo, máquina, fabricação, composição de matéria, meio, métodos e etapas descritas no relatório descritivo. Como uma pessoa versada na técnica prontamente reconhecerá a partir da revelação, processos, máquinas, fabricação, composições de matéria, meio, métodos ou etapas, atualmente existentes ou a serem desenvolvidas posteriormente que executam substancialmente a mesma função ou obtêm substancialmente o mesmo resultado como as configurações correspondentes descritas aqui podem ser utilizados de acordo com a presente revelação. Por conseguinte, as reivindicações apensas pretendem incluir em seu escopo tais processos, máquinas, fabricação, composições de matéria, meio, métodos ou etapas.
[00066] Aqueles versados reconheceriam adicionalmente que os vários blocos lógicos ilustrativos, módulos, circuitos e etapas de algoritmo descritas com relação á revelação da presente invenção podem ser implementadas como hardware eletrônico, software de computador ou combinações de ambos. Para ilustrar claramente essa capacidade de permuta de hardware e software, vários componentes ilustrativos, blocos, módulos, circuitos e etapas foram descritos acima em geral em termos de sua funcionalidade. O fato de se tal funcionalidade é implementado como hardware ou software depende da aplicação específica e limitações de design impostas no sistema geral. Técnicos especializados podem implementar a funcionalidade descrita em modos variáveis para cada aplicação específica, porém tais decisões de implementação não devem ser interpretadas como causando afastamento do escopo da presente revelação.
[00067] Os vários blocos lógicos ilustrativos, módulos e circuitos descritos com relação à revelação da presente invenção podem ser implementados ou executados com um processador de propósito geral, um processador de sinais digitais (DSP), um circuito integrado de aplicação específica (ASIC), uma disposição de porta programável em campo (FPGA) ou outro dispositivo de lógica programável, porta discreta ou lógica de transistor, componentes de hardware discreto, ou qualquer combinação dos mesmos para executar as funções descritas aqui. Um processador de propósito geral pode ser um microprocessador, porém na alternativa, o processador pode ser qualquer processador, controlador, microcontrolador ou máquina de estado convencional. Um processador também pode ser implementado como uma combinação de dispositivos de computação, por exemplo, uma combinação de um DSP e um microprocessador, múltiplos microprocessadores, um ou mais microprocessadores em combinação com um núcleo DSP ou qualquer outra configuração.
[00068] As etapas de um método ou algoritmo descrito com relação à revelação podem ser incorporadas diretamente em hardware, em um módulo de software executado por um processador, ou em uma combinação dos dois. Um módulo de software pode residir em RAM, memória flash, ROM, EPROM, EEPROM, registros, disco rígido, um disco removível, um CD-ROM, ou qualquer outra forma de meio de armazenamento conhecida na técnica. Um meio de armazenamento exemplificador é acoplado ao processador de modo que o processador possa ler informações de e gravar informações para a mídia de armazenagem. Na alternativa, o meio de armazenamento pode ser integrado ao processador. O processador e o meio de armazenamento podem residir em um ASIC. O ASIC pode residir em um terminal de usuário. Na alternativa, o processador e o meio de armazenamento podem residir como componentes discretos em um terminal de usuário.
[00069] Em um ou mais designs exemplificadores, as funções descritas podem ser implementadas em hardware, software, firmware ou qualquer combinação dos mesmos. Se implementada em software, as funções podem ser armazenadas em ou transmitidas como uma ou mais instruções ou código em uma mídia legível por computador. Mídia legível por computador inclui tanto mídia de armazenamento em computador e mídia de comunicação incluindo qualquer mídia que facilite transferência de um programa de computador de um lugar para outro. Uma mídia de armazenamento pode ser qualquer mídia disponível que pode ser acessada por um computador de propósito geral ou propósito especial. Como exemplo e não limitação, mídia legível por computador pode compreender RAM, ROM, EEPROM, CD-ROM ou outra armazenagem de disco ótica, armazenagem de disco magnética ou outros dispositivos de armazenagem magnética, ou qualquer outra mídia que possa ser usada para carregar ou armazenar meio de código de programa especificado na forma de instruções ou estruturas de dados e que possa ser acessado por um computador de propósito geral ou propósito especial, ou um processador de propósito geral ou propósito especial. Também, qualquer conexão é adequadamente denominada uma mídia legível por computador. Por exemplo, se o software for transmitido de um website, servidor ou outra fonte remota usando um cabo coaxial, cabo de fibra ótica, par torcido, ou linha de assinante digital (DSL), ou tecnologias sem fio como infravermelha, rádio e micro-ondas, então o cabo coaxial, cabo de fibra ótica, par torcido, DSL, ou tecnologias sem fio como infravermelho, rádio e micro-onda, são incluídos na definição de mídia. Disk e disco, como usados aqui, incluem compact disc (CD), disco laser, disco ótico, digital versatile disc (DVD) e disco Blu-ray onde disks normalmente reproduzem dados magneticamente, enquanto discos reproduzem dados oticamente com lasers. Combinações do acima são também incluídas no escopo de mídia legível por computador.
[00070] A descrição anterior ré fornecida para permitir que qualquer pessoa versada na técnica ponha em prática os vários aspectos descritos aqui. Várias modificações nesses aspectos serão prontamente evidentes para aqueles versados na técnica, e os princípios genéricos definidos aqui podem ser aplicados a outros aspectos. Desse modo, as reivindicações não pretendem ser limitadas aos aspectos mostrados aqui, porém devem ser acordados o escopo total compatível com a linguagem das reivindicações, em que referência a um elemento no singular não pretende significar “um e somente um” a menos que especificamente assim mencionado, porém ao invés “um ou mais.” A menos que especificamente mencionado de outro modo, o termo “algum” se refere a um ou mais. Uma frase se referindo a “pelo menos um de” uma lista de itens se refere a qualquer combinação desses itens, incluindo elementos únicos. Como exemplo, “pelo menos um de: a, b, ou c” pretende cobrir: a; b; c; a e b; a e c; b e c; e a, b e c. Todos os equivalentes estruturais e funcionais aos elementos dos vários aspectos descritos em toda essa revelação que são conhecidos ou posteriormente se tornem conhecidos para aqueles com conhecimentos comuns na técnica são expressamente incorporados aqui por referência e pretendem ser abrangidos pelas reivindicações. Além disso, nada revelado aqui pretende ser dedicado ao público independente de se tal revelação é explicitamente citado nas reivindicações. Nenhum elemento de reivindicação deve ser interpretado de acordo com as disposições de 35 U.S.C. §112, sexto parágrafo, a menos que o elemento seja expressamente citado usando a frase “meio para” ou no caso de uma reivindicação de método, o elemento é citado usando a frase “uma etapa para.”

Claims (14)

1. Estrutura de varactor simétrico (400), caracterizada pelo fato de que compreende: um primeiro componente de varactor (410) tendo um gate operando como uma segunda placa (414), uma camada de óxido de gate operando como uma camada dielétrica (413) e um corpo operando como uma primeira placa (412) de um capacitor de modulação de área, e uma pluralidade de regiões dopadas (416, 418) circundando o corpo (412), o primeiro componente de varactor suportado em um lado traseiro por uma camada isolante (406); e um segundo componente de varactor (420) conectado de forma condutora ao lado traseiro do primeiro componente de varactor (410) através de uma camada condutora traseira (430); e em que a camada condutora (430) é disposta no lado traseiro da camada isolante (406) e a camada isolante (406) compreende furos passantes tal que a camada condutora traseira (430) conecta de forma condutora o corpo do primeiro componente de varactor (410) a um corpo do segundo componente de varactor (420).
2. Estrutura de varactor simétrico, de acordo com a reivindicação 1, caracterizada pelo fato de que compreende adicionalmente: uma porta de sinal acoplada ao gate; e uma pluralidade de portas de controle, cada uma acoplada a uma dentre a pluralidade de regiões dopadas, a porta de sinal isolada dentre a pluralidade de portas de controle.
3. Estrutura de varactor simétrico, de acordo com a reivindicação 1, caracterizada pelo fato de que uma área de placa da primeira placa é modulada com base em uma tensão de polarização recebida de uma porta de controle para controlar o capacitor de modulação de área.
4. Estrutura de varactor simétrico, de acordo com a reivindicação 1, caracterizada pelo fato de que a camada isolante compreende uma camada de óxido enterrada.
5. Estrutura de varactor simétrico, de acordo com a reivindicação 1, caracterizada pelo fato de que o primeiro componente de varactor e o segundo componente de varactor são integrados em um circuito integrado.
6. Estrutura de varactor simétrico, de acordo com a reivindicação 5, caracterizada pelo fato de que o circuito integrado compreende um amplificador de potência (PA), um oscilador, um sintonizador de radiofrequência (RF), um transceptor RF, um multiplexor e/ou uma matriz de circuito RF.
7. Estrutura de varactor simétrico, de acordo com a reivindicação 1, caracterizada pelo fato de que o primeiro componente de varactor e o segundo componente de varactor são integrados em um comutador RF (radiofrequência).
8. Estrutura de varactor simétrico, de acordo com a reivindicação 1, caracterizada pelo fato de que o primeiro componente de varactor e o segundo componente de varactor são suportados por um substrato compreendido de vidro, quartzo ou silício.
9. Estrutura de varactor simétrico, de acordo com a reivindicação 1, caracterizada pelo fato de que é incorporada em pelo menos um de um reprodutor de música, um reprodutor de vídeo, uma unidade de entretenimento, um dispositivo de navegação, um dispositivo de comunicação, um assistente pessoal digital (PDA), uma unidade de dados de localização fixa e um computador.
10. Estrutura de varactor simétrico, de acordo com a reivindicação 1, caracterizada pelo fato de que a camada condutora traseira (430) compreende cobre.
11. Método (500) para fabricar uma estrutura de varactor simétrico (400), caracterizado pelo fato de que compreende: fabricar (502) um primeiro componente de varactor (410) adjacente a um segundo componente de varactor (420) da estrutura de varactor simétrico em uma camada isolante (406), em que cada um entre o primeiro componente de varactor (410) e o segundo componente de varactor (420) compreende um corpo (412, 422) circundado por uma pluralidade de regiões dopadas (416, 418); afinar (504) a traseira da estrutura de varactor simétrico para expor o corpo (412) do primeiro componente de varactor (410) e o corpo (422) do segundo componente de varactor (420); e depositar e padronizar (506) uma camada condutora (430) para conectar de forma condutora o corpo (412) exposto do primeiro componente de varactor (410) e o corpo (422) exposto do segundo componente de varactor (420).
12. Método, de acordo com a reivindicação 11, caracterizado pelo fato de que o depósito e a padronização da camada condutora compreende adicionalmente: depositar e padronizar uma camada de redistribuição como a camada condutora para acoplar o corpo exposto do primeiro componente de varactor e o corpo exposto do segundo componente de varactor; e depositar e padronizar uma camada de passivação na camada de redistribuição.
13. Método, de acordo com a reivindicação 12, caracterizado pelo fato de que compreende adicionalmente ligar um substrato à camada de passivação.
14. Método, de acordo com a reivindicação 11, caracterizado pelo fato de que compreende adicionalmente incorporar a estrutura de varactor simétrico em pelo menos um de um reprodutor de música, um reprodutor de vídeo, uma unidade de entretenimento, um dispositivo de navegação, um dispositivo de comunicação, um assistente pessoal digital (PDA), uma unidade de dados de localização fixa e um computador.
BR112018004937-9A 2015-09-14 2016-08-01 Estrutura de varactor simétrico e método para fabricar uma estrutura de varactor simétrico BR112018004937B1 (pt)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US14/853,931 2015-09-14
US14/853,931 US9502586B1 (en) 2015-09-14 2015-09-14 Backside coupled symmetric varactor structure
PCT/US2016/045056 WO2017048384A1 (en) 2015-09-14 2016-08-01 Backside coupled symmetric varactor structure

Publications (2)

Publication Number Publication Date
BR112018004937A2 BR112018004937A2 (pt) 2018-10-09
BR112018004937B1 true BR112018004937B1 (pt) 2023-10-10

Family

ID=56694232

Family Applications (1)

Application Number Title Priority Date Filing Date
BR112018004937-9A BR112018004937B1 (pt) 2015-09-14 2016-08-01 Estrutura de varactor simétrico e método para fabricar uma estrutura de varactor simétrico

Country Status (9)

Country Link
US (2) US9502586B1 (pt)
EP (1) EP3350838B1 (pt)
JP (1) JP6396624B1 (pt)
KR (1) KR101941533B1 (pt)
CN (1) CN108028269B (pt)
BR (1) BR112018004937B1 (pt)
CA (1) CA2994820A1 (pt)
TW (1) TWI583007B (pt)
WO (1) WO2017048384A1 (pt)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9502586B1 (en) 2015-09-14 2016-11-22 Qualcomm Incorporated Backside coupled symmetric varactor structure
US9882066B1 (en) * 2017-02-10 2018-01-30 Qualcomm Incorporated Transcap manufacturing techniques without a silicide-blocking mask
US10707330B2 (en) 2018-02-15 2020-07-07 Globalfoundries Inc. Semiconductor device with interconnect to source/drain
US10608124B2 (en) 2018-04-19 2020-03-31 Qualcomm Incorporated Back silicided variable capacitor devices
US10636872B1 (en) * 2018-10-31 2020-04-28 Globalfoundries Inc. Apparatus and method to prevent integrated circuit from entering latch-up mode
US11515197B2 (en) * 2019-07-11 2022-11-29 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and method of forming the semiconductor device
US11658220B2 (en) 2020-04-24 2023-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Drain side recess for back-side power rail device
US11581224B2 (en) * 2020-05-08 2023-02-14 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming long channel back-side power rail device
US11791342B2 (en) 2021-11-17 2023-10-17 International Business Machines Corporation Varactor integrated with complementary metal-oxide semiconductor devices

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3877053A (en) 1973-05-07 1975-04-08 Gen Motors Corp Voltage controlled variable area solid state tuning capacitor
JP3167457B2 (ja) * 1992-10-22 2001-05-21 株式会社東芝 半導体装置
TW540154B (en) * 2001-06-04 2003-07-01 Promos Technologies Inc Deep trench capacitor structure and its manufacturing method
US7235862B2 (en) * 2001-07-10 2007-06-26 National Semiconductor Corporation Gate-enhanced junction varactor
JP5000055B2 (ja) * 2001-09-19 2012-08-15 ルネサスエレクトロニクス株式会社 半導体装置
JP2004214408A (ja) * 2002-12-27 2004-07-29 Nec Electronics Corp 電圧制御可変容量素子
US6847095B2 (en) * 2003-04-01 2005-01-25 Texas Instruments Incorporated Variable reactor (varactor) with engineered capacitance-voltage characteristics
KR100593444B1 (ko) * 2004-02-12 2006-06-28 삼성전자주식회사 모오스 바렉터를 갖는 반도체소자 및 그것을 제조하는 방법
WO2006087822A1 (ja) * 2005-02-16 2006-08-24 Hitachi, Ltd. 電子タグチップ
US7276751B2 (en) * 2005-09-09 2007-10-02 International Business Machines Corporation Trench metal-insulator-metal (MIM) capacitors integrated with middle-of-line metal contacts, and method of fabricating same
JP2007336254A (ja) * 2006-06-15 2007-12-27 Oki Electric Ind Co Ltd 電圧制御発振器
US7816231B2 (en) * 2006-08-29 2010-10-19 International Business Machines Corporation Device structures including backside contacts, and methods for forming same
JP5261929B2 (ja) * 2006-12-15 2013-08-14 株式会社デンソー 半導体装置
JP2009064860A (ja) * 2007-09-05 2009-03-26 Renesas Technology Corp 半導体装置
KR101246348B1 (ko) * 2009-03-27 2013-03-25 고려대학교 산학협력단 모스 버랙터 제조방법
JP2010251426A (ja) 2009-04-13 2010-11-04 Sony Corp 可変容量素子及び電子機器
US8188528B2 (en) * 2009-05-07 2012-05-29 International Buiness Machines Corporation Structure and method to form EDRAM on SOI substrate
US8604586B2 (en) * 2009-08-06 2013-12-10 Qualcomm Incorporated High breakdown voltage embedded MIM capacitor structure
US9059319B2 (en) * 2010-01-25 2015-06-16 International Business Machines Corporation Embedded dynamic random access memory device and method
US8273616B2 (en) 2010-02-19 2012-09-25 Taiwan Semiconductor Manufacturing Company, Ltd. Gated-varactors
US8450827B2 (en) 2011-01-25 2013-05-28 Taiwan Semiconductor Manufacturing Company, Ltd. MOS varactor structure and methods
US8803288B1 (en) * 2011-05-05 2014-08-12 Eta Semiconductor Inc. Analog transcap device
JP2012015538A (ja) * 2011-08-29 2012-01-19 Renesas Electronics Corp 半導体装置
US20130100090A1 (en) * 2011-10-21 2013-04-25 Qualcomm Mems Technologies, Inc. Electromechanical systems variable capacitance device
CN103545245B (zh) * 2012-07-10 2016-04-20 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法及处理方法
EP2693478B1 (en) 2012-07-31 2019-05-29 Nxp B.V. An integrated circuit based varactor
US9570222B2 (en) * 2013-05-28 2017-02-14 Tdk Corporation Vector inductor having multiple mutually coupled metalization layers providing high quality factor
JP5596819B2 (ja) * 2013-06-11 2014-09-24 ルネサスエレクトロニクス株式会社 半導体集積回路装置
US9502586B1 (en) 2015-09-14 2016-11-22 Qualcomm Incorporated Backside coupled symmetric varactor structure

Also Published As

Publication number Publication date
JP2018530915A (ja) 2018-10-18
EP3350838B1 (en) 2024-04-03
JP6396624B1 (ja) 2018-09-26
TW201712880A (zh) 2017-04-01
CN108028269A (zh) 2018-05-11
US20170077093A1 (en) 2017-03-16
TWI583007B (zh) 2017-05-11
BR112018004937A2 (pt) 2018-10-09
KR101941533B1 (ko) 2019-01-24
WO2017048384A1 (en) 2017-03-23
CN108028269B (zh) 2019-06-28
US9721946B2 (en) 2017-08-01
CA2994820A1 (en) 2017-03-23
EP3350838A1 (en) 2018-07-25
US9502586B1 (en) 2016-11-22
KR20180041258A (ko) 2018-04-23
EP3350838C0 (en) 2024-04-03

Similar Documents

Publication Publication Date Title
BR112018004937B1 (pt) Estrutura de varactor simétrico e método para fabricar uma estrutura de varactor simétrico
CN109643691B (zh) 背面半导体生长
US9812580B1 (en) Deep trench active device with backside body contact
US20160148936A1 (en) Contact wrap around structure
CN110088891A (zh) 利用双面处理的逻辑电路块布局
US20160181161A1 (en) Sub-fin device isolation
US9966426B2 (en) Augmented capacitor structure for high quality (Q)-factor radio frequency (RF) applications
TW201824502A (zh) 用於雙側處理之自對準電晶體
US20200098920A1 (en) Transistor gate structure
US9633996B1 (en) High density area efficient thin-oxide decoupling capacitor using conductive gate resistor
US10566413B2 (en) MIM capacitor containing negative capacitance material
US20190027576A1 (en) Composite channel metal-oxide-semiconductor field effect transistor (mosfet)
US10079097B2 (en) Capacitor structure for power delivery applications
US10622491B2 (en) Well doping for metal oxide semiconductor (MOS) varactor
BR112019002750B1 (pt) Estrutura de circuito integrado, método de construção de uma estrutura de circuito integrado e módulo de extremidade anterior de frequência de rádio
US10930730B2 (en) Enhanced active and passive devices for radio frequency (RF) process and design technology
US9984029B2 (en) Variable interconnect pitch for improved performance
BR112019012321B1 (pt) Dispositivo de circuito integrado e módulo de front-end de radiofrequência (rf)

Legal Events

Date Code Title Description
B06U Preliminary requirement: requests with searches performed by other patent offices: procedure suspended [chapter 6.21 patent gazette]
B07A Application suspended after technical examination (opinion) [chapter 7.1 patent gazette]
B09A Decision: intention to grant [chapter 9.1 patent gazette]
B16A Patent or certificate of addition of invention granted [chapter 16.1 patent gazette]

Free format text: PRAZO DE VALIDADE: 20 (VINTE) ANOS CONTADOS A PARTIR DE 01/08/2016, OBSERVADAS AS CONDICOES LEGAIS