JP6396624B1 - 背面結合型対称バラクタ構造 - Google Patents

背面結合型対称バラクタ構造 Download PDF

Info

Publication number
JP6396624B1
JP6396624B1 JP2018512898A JP2018512898A JP6396624B1 JP 6396624 B1 JP6396624 B1 JP 6396624B1 JP 2018512898 A JP2018512898 A JP 2018512898A JP 2018512898 A JP2018512898 A JP 2018512898A JP 6396624 B1 JP6396624 B1 JP 6396624B1
Authority
JP
Japan
Prior art keywords
varactor
component
symmetric
layer
varactor component
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2018512898A
Other languages
English (en)
Other versions
JP2018530915A (ja
Inventor
デイク・ダニエル・キム
デイヴィッド・フランシス・バーディ
ジェ−シュン・ジェフリー・ラン
チャンハン・ホビー・ユン
ジョンヘ・キム
Original Assignee
クアルコム,インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by クアルコム,インコーポレイテッド filed Critical クアルコム,インコーポレイテッド
Application granted granted Critical
Publication of JP6396624B1 publication Critical patent/JP6396624B1/ja
Publication of JP2018530915A publication Critical patent/JP2018530915A/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/0805Capacitors only
    • H01L27/0808Varactor diodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76264SOI together with lateral isolation, e.g. using local oxidation of silicon, or dielectric or polycristalline material refilled trench or air gap isolation regions, e.g. completely isolated semiconductor islands
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66083Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by variation of the electric current supplied or the electric potential applied, to one or more of the electrodes carrying the current to be rectified, amplified, oscillated or switched, e.g. two-terminal devices
    • H01L29/66174Capacitors with PN or Schottky junction, e.g. varactors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66083Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by variation of the electric current supplied or the electric potential applied, to one or more of the electrodes carrying the current to be rectified, amplified, oscillated or switched, e.g. two-terminal devices
    • H01L29/66181Conductor-insulator-semiconductor capacitors, e.g. trench capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/86Types of semiconductor device ; Multistep manufacturing processes therefor controllable only by variation of the electric current supplied, or only the electric potential applied, to one or more of the electrodes carrying the current to be rectified, amplified, oscillated or switched
    • H01L29/92Capacitors having potential barriers
    • H01L29/93Variable capacitance diodes, e.g. varactors

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Optical Modulation, Optical Deflection, Nonlinear Optics, Optical Demodulation, Optical Logic Elements (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

対称バラクタ構造は、第1のバラクタ構成要素を含むことができる。第1のバラクタ構成要素は、第2の板として動作するゲート、誘電体層として動作するゲート酸化物層、およびエリア変調コンデンサである第1の板として動作するボディを含むことができる。加えて、ドープ領域が、第1のバラクタ構成要素のボディを取り囲むことができる。第1のバラクタ構成要素は、背面で分離層によって支持されてよい。対称バラクタ構造はまた、第1のバラクタ構成要素の背面に背面導電層を通じて電気的に結合された第2のバラクタ構成要素を含むことができる。

Description

本開示の態様は、半導体デバイスに関し、より詳細には、背面結合型対称バラクタ構造に関する。
集積回路(IC)の半導体作製のためのプロセスフローは、フロントエンドオブライン(FEOL)、ミドルオブライン(MOL)、およびバックエンドオブライン(BEOL)プロセスを含む場合がある。フロントエンドオブラインプロセスは、ウエハ準備、絶縁、ウェル形成、ゲートパターニング、スペーサ、エクステンションおよびソース/ドレイン注入、シリサイド形成、ならびにデュアルストレスライナ形成を含むことができる。ミドルオブラインプロセスは、ゲートコンタクト形成を含むことができる。ミドルオブライン層は、次のものに限定されないが、半導体デバイストランジスタまたは他の類似の能動デバイスに近接する、ミドルオブラインコンタクト、ビア、または他の層を含むことができる。バックエンドオブラインプロセスは、フロントエンドオブラインプロセスおよびミドルオブラインプロセスの間に作成された半導体デバイスを相互接続するための、一連のウエハ処理ステップを含むことができる。現代の半導体チップ製品の首尾よい作製は、材料と採用されるプロセスとの間の相互作用を必要とする。
モバイル無線周波数(RF)チップ設計(たとえばモバイルRFトランシーバ)は、コストおよび電力消費の考慮事項のため、ディープサブミクロンプロセスノードに移行している。モバイルRFトランシーバの設計の複雑さは、通信機能強化をサポートするための追加回路機能によって、さらに複雑になっている。モバイルRFトランシーバにとってのさらなる設計課題には、不整合、雑音、および他の性能考慮事項を含む、アナログ/RF性能考慮事項が含まれる。これらのモバイルRFトランシーバの設計には、たとえば電圧制御発振器を提供するための電圧制御静電容量および/またはチューナブルコンデンサ(たとえばバラクタ)の使用が含まれる。バラクタは、可変容量ダイオードとしても知られている場合がある。
対称バラクタ構造は、第1のバラクタ構成要素を含むことができる。第1のバラクタ構成要素は、第2の板として動作するゲート、誘電体層として動作するゲート酸化物層、およびエリア変調コンデンサである第1の板として動作するボディを含むことができる。加えて、ドープ領域が、第1のバラクタ構成要素のボディを取り囲むことができる。第1のバラクタ構成要素は、背面で分離層によって支持されてよい。対称バラクタ構造はまた、第1のバラクタ構成要素の背面に背面導電層を通じて電気的に結合された第2のバラクタ構成要素を含むことができる。
対称バラクタ構造を作製する方法は、第1のバラクタ構成要素を、分離層上に、対称バラクタ構造の第2のバラクタ構成要素に隣接して作製するステップを含む。方法はまた、第1のバラクタ構成要素のボディおよび第2のバラクタ構成要素のボディを露出させるように、対称バラクタ構造を薄化するステップを含む。方法はさらに、第1のバラクタ構成要素のボディと第2のバラクタ構成要素のボディを結合するように、導電層を堆積およびパターニングするステップを含む。
対称バラクタ構造は、第1のバラクタ構成要素を含むことができる。第1のバラクタ構成要素は、第2の板として動作するゲート、誘電体層として動作するゲート酸化物層、およびエリア変調コンデンサである第1の板として動作するボディを含むことができる。加えて、ドープ領域が、第1のバラクタ構成要素のボディを取り囲むことができる。第1のバラクタ構成要素は、背面で分離層によって支持されてよい。対称バラクタ構造はまた、第2のバラクタ構成要素を含むことができる。対称バラクタ構造はさらに、第2のバラクタ構成要素を第1のバラクタ構成要素の背面に電気的に結合するための手段を含むことができる。
上記では、後続の詳細な説明をより深く理解することができるように、本開示の特徴および技術的利点について、かなり大まかに概説してきた。本開示の追加の特徴および利点について以下において説明する。本開示が、本開示と同じ目的を果たすための他の構造を変更または設計するための基礎として容易に利用できることを、当業者は理解されたい。そのような同等な構成が、添付の特許請求の範囲に記載されるような本開示の教示から逸脱しないことも、当業者には理解されたい。本開示の構成と動作方法の両方に関して本開示の特徴になると考えられる新規の特徴が、さらなる目的および利点とともに、以下の説明を添付の図と併せて検討することからより十分に理解されるであろう。しかしながら、図の各々が、例示および説明のために提供されるにすぎず、本開示の範囲を定めるものではないことは明確に理解されたい。
本開示についてより完全に理解できるように、次に、添付の図面とともに以下の説明を参照する。
本開示の一態様における半導体ウエハの斜視図である。 本開示の一態様によるダイの断面図である。 本開示の一態様によるバラクタを示す図である。 本開示の態様による対称バラクタ構造を示す図である。 本開示の一態様による対称バラクタ構造を作製するための方法を示すプロセス流れ図である。 本開示の構成が有利に使用される場合がある例示的なワイヤレス通信システムを示すブロック図である。 一構成による半導体構成要素の回路、レイアウト、および論理設計に使用される設計用ワークステーションを示すブロック図である。
添付の図面に関して以下に記載される詳細な説明は、種々の構成について説明することを意図しており、本明細書において説明する概念が実践される場合がある唯一の構成を表すことは意図しない。詳細な説明は、様々な概念を十分に理解できるようにするための具体的な詳細を含む。しかしながら、これらの概念がこれらの具体的な詳細なしに実施できることは当業者には明らかであろう。場合によっては、そのような概念を曖昧にするのを避けるために、よく知られている構造および構成要素がブロック図の形で示される。本明細書において説明されるときに、「および/または」という用語の使用は、「包含的論理和」を表すことが意図されており、「または」という用語の使用は、「排他的論理和」を表すことが意図されている。
モバイル無線周波数(RF)チップ設計(たとえばモバイルRFトランシーバ)は、コストおよび電力消費の考慮事項のため、ディープサブミクロンプロセスノードに移行している。モバイルRFトランシーバの設計の複雑さは、キャリアアグリゲーションなどの通信機能強化をサポートするための追加回路機能によって、さらに複雑になっている。モバイルRFトランシーバにとってのさらなる設計課題には、不整合、雑音、および他の性能考慮事項を含む、アナログ/RF性能考慮事項が含まれる。これらのモバイルRFトランシーバの設計には、たとえば電圧制御発振器を提供するための電圧制御静電容量および/またはチューナブルコンデンサ(たとえばバラクタ)の使用が含まれる。バラクタは、可変容量ダイオードとしても知られている場合がある。
バラクタは、間隔の狭いコンデンサ板間の電界にエネルギー(たとえば電荷)を静電容量値に従って蓄積するのに使用される電気デバイスの例である。この静電容量値は、ある電圧でコンデンサによって蓄積される電荷の量の尺度を提供する。また、コンデンサは高周波信号と低周波信号との間の区別を可能にするので、コンデンサは、その電荷蓄積能力に加えて、電子フィルタとしても有用である。しかしながら、従来のバラクタでは、板幅が変調して、コンデンサ板間に形成される電界を変化させる。このバラクタは、同調回路で使用することのできる、電気的に制御可能な静電容量を実証している。バラクタの使用は(たとえば小サイズおよびコスト低減のため)多くの適用分野で有利であるが、バラクタは、非対称デバイスであるので、一般により低いクオリティ(Q)ファクタおよび非直線性を呈する。
直線性は、モバイルRFチップ設計における重要なファクタである。直線性は、出力信号が入力信号に正比例して変化する回路挙動を指すことができる。直線性デバイスでは、入力信号の強度に関係なく、出力対入力の信号振幅比は同じでなければならない。述べたように、バラクタは、非対称デバイスの一例である。たとえば、従来の標準的な相補型金属酸化膜半導体(CMOS)バラクタは、完全対称バラクタを実現することができない。対称性のこの欠如が、標準的なCMOSバラクタに2次高調波および3次高調波を発生させ、それが、RFシステムで使用されるときに信号リークを生じる。特に、RFシステムで非対称デバイスを使用すると、デバイスによる非直線性が生じ、それが、RFシステムの同調性を妨げる。
本開示の様々な態様は、背面結合型対称バラクタの作製のための技法を提供する。背面結合型対称バラクタの半導体作製のためのプロセスフローは、フロントエンドオブライン(FEOL)プロセス、ミドルオブライン(MOL)プロセス、およびバックエンドオブライン(BEOL)プロセスを含む場合がある。「層」という用語は、膜を含み、別段述べられていない限り、垂直厚または水平厚を示すものと解釈されるべきではないことは理解されよう。本明細書において説明されるように、「基板」という用語は、ダイシングされたウエハの基板を指す場合もあり、あるいはダイシングされていないウエハの基板を指す場合もある。同様に、チップおよびダイという用語は、入れ換えることによって信頼性が失われない限り、互換的に使用することができる。
本開示の態様では、対称バラクタ構造について説明する。一配置構成では、第1のバラクタ構成が、第2の板として動作するゲート、誘電体層として動作するゲート酸化物層、および板エリア変調コンデンサである第1の板として動作するボディを含む。加えて、ドープ領域がボディを取り囲み、第1のバラクタ構成要素は、背面で分離層によって支持される。本開示のこの態様では、バラクタは、バラクタのボディによってもたらされる板エリア内にエリア変調コンデンサを含み、それが、制御ポートから受領した、板エリア変調コンデンサを制御するためのバイアス電圧に基づいて変調する。加えて、第2のバラクタ構成要素が、第1のバラクタの背面に背面導電層を通じて電気的に結合され、それにより、単一バラクタ構成要素によって引き起こされる2次高調波が打ち消される。
この配置構成では、第2のバラクタ構成要素は、複製(たとえばサイアミーズ(Siamese))バラクタとすることができ、この場合、第2のバラクタ構成要素のボディが第1のバラクタ構成要素のボディに結合されて、対称バラクタがもたらされる。第1のバラクタ構成要素および第2のバラクタ構成要素に対する信号ポートと制御ポートを分けることによって、背面接続が、RFシステム内でのどんな2次高調波も打ち消す対称バラクタを可能にする。加えて、第1のバラクタ構成要素および第2のバラクタ構成要素の板エリア変調コンデンサ能力が、コンデンサの直線性を改善する。加えて、分かれた制御ポートと信号ポートが、制御対信号のより高度の分離および直線性を可能にする。加えて、背面導電層の厚さの増大によって、高いQファクタの背面結合型対称バラクタがもたらされる。対称バラクタ構造は、第1のバラクタ構成要素および第2のバラクタ構成要素のためエリアペナルティを被るが、Qファクタの改善を呈することができる。
図1は、本開示の一態様における半導体ウエハの斜視図である。ウエハ100は、半導体ウエハであってよく、あるいはウエハ100の表面上に半導体材料の1つまたは複数の層を有する基板材料であってもよい。ウエハ100は、半導体材料であるとき、チョクラルスキー法を使用してシード結晶から成長させてもよく、その場合、シード結晶を半導体材料の溶融槽に浸漬させ、低速で回転させ、槽から取り出す。すると、この溶融材料は、シード結晶上に結晶の向きに結晶化する。
ウエハ100は、ガリウムヒ素(GaAs)または窒化ガリウム(GaN)などの複合材料、インジウムガリウムヒ素(InGaAs)などの3元材料、4元材料、あるいは他の半導体材料用の基板材料とすることができる任意の材料であってもよい。多くの材料は本質的に結晶性を有する場合があるが、多結晶材料またはアモルファス材料がウエハ100に使用されてもよい。
ウエハ100またはウエハ100に結合された層は、ウエハ100の導電性を向上させる材料を備えてもよい。たとえば、限定としてではなく、シリコンウエハは、電荷がウエハ100内を流れるのを可能にするようにウエハ100に添加されたリンまたはホウ素を有してもよい。これらの添加剤は、ドーパントと呼ばれ、ウエハ100またはウエハ100の一部内に余分な電荷担体(電子またはホールのいずれか)を生成する。余分な電荷担体が生成される領域、どの種類の電荷担体が生成されるか、およびウエハ100における追加の電荷担体の量(密度)を選択することによって、ウエハ100内またはウエハ100上に様々に異なる種類の電子デバイスが形成されてもよい。
ウエハ100は、ウエハ100の結晶配向を示す配向102を有する。配向102は、図1に示すようにウエハ100の平坦な縁部であっても、あるいはウエハ100の結晶配向を示すための切欠きまたは他の表示であってもよい。配向102は、ウエハ100内の結晶格子の平面に関するミラー指数を示す場合がある。
ウエハ100は、必要に応じて加工された後、ダイシングライン104に沿って分割される。ダイシングライン104は、ウエハ100をどこで分割または分離すべきであるかを示す。ダイシングライン104は、ウエハ100上に作製された様々な集積回路の輪郭を画定してもよい。
ダイシングライン104が画定された後、ウエハ100は、いくつかの部片として切断されるかまたはその他の方法によって分離されダイ106が形成される。各ダイ106は、多数のデバイスを有する集積回路であってもよく、あるいは単一の電子デバイスであってもよい。ダイ106の物理的サイズは、チップまたは半導体チップと呼ばれる場合もあり、ウエハ100を特定のサイズに分離する能力ならびにダイ106が含むように設計される個々のデバイスの数に少なくとも部分的に依存する。
ウエハ100が1つまたは複数のダイ106に分離された後、ダイ106はパッケージ内に実装され、ダイ106上に作製されたデバイスおよび/または集積回路の取り扱いを可能にしてもよい。パッケージには、シングルインラインパッケージ、デュアルインラインパッケージ、マザーボードパッケージ、フリップチップパッケージ、インジウムドット/バンプパッケージ、またはダイ106の取り扱いを可能にする他の種類のデバイスを含めてもよい。ダイ106は、ダイ106を別個のパッケージに実装されることなくワイヤボンディング、プローブ、または他の接続部を通して直接取り扱われてもよい。
図2は、本開示の一態様によるダイ106の断面図を示す。ダイ106には、基板200が存在してもよく、基板200は、半導体材料であり、ならびに/あるいは電子デバイス用の機械的支持体として働いてもよい。基板200は、基板200全体にわたって存在する(N−チャネルと呼ばれる)電子電荷担体または(P−チャネルと呼ばれる)ホール電荷担体のいずれかを有するドープされた半導体基板であってもよい。その後基板200に電荷担体イオン/原子をドープして基板200の電荷担持機能を変更してもよい。
基板200(たとえば、半導体基板)内に、ウェル202および204が存在してもよく、ウェル202および204は、電界効果トランジスタ(FET)のソースおよび/またはドレインであってもよく、あるいはフィン構造FET(FinFET)のフィン構造であってもよい。ウェル202および/または204は、ウェル202および/または204の構造およびその他の特性ならびに基板200の周囲構造に応じて他のデバイス(たとえば、レジスタ、キャパシタ、ダイオード、または他の電子デバイス)であってもよい。
半導体基板は、ウェル206とウェル208とを有してもよい。ウェル208は、完全にウェル206内に位置してもよく、場合によっては、バイポーラ接合トランジスタ(BJT)を形成してもよい。ウェル206は、ダイ106内の電界および/または磁界からウェル208を分離するための分離ウェルとして使用されてもよい。
ダイ106に各層(たとえば、210〜214)が追加されてもよい。層210はたとえば、ウェル(たとえば、202〜208)を互いに分離するかまたはダイ106上の他のデバイスから分離する場合がある酸化物層または絶縁層であってもよい。そのような場合、層210は、二酸化ケイ素、ポリマー、誘電体、または別の絶縁層であってもよい。層210は、配線層であってもよく、その場合、層210は、銅、タングステン、アルミニウム、合金、またはその他の導電材料もしくは金属材料などの導電材料を含んでもよい。
層212は、層(たとえば、210および214)の所望のデバイス特性および/または材料に応じて誘電体層であってもあるいは導電層であってもよい。層214はカプセル化層であってもよく、カプセル化層は、外部の力から各層(たとえば、210および212)ならびにウェル202〜208および基板200を保護する場合がある。たとえば、限定としてではなく、層214はダイ106を機械的損傷から保護する層であってもよく、あるいは層214は、電磁損傷または放射損傷からダイ106を保護する材料の層であってもよい。
ダイ106上に構成された電子デバイスは、多数のフィーチャまたは構造構成要素を備えてもよい。たとえば、ダイ106には、基板200、ウェル202〜208、および必要に応じて各層(たとえば、210〜214)にドーパントを加えるために任意の数の方法が実施されてもよい。たとえば、限定としてではなく、ダイ106には、イオン注入、拡散プロセスを通じて結晶格子に打ち込まれるドーパント原子の堆積、化学気相堆積、エピタキシャル成長、またはその他の方法が実施されてもよい。各層(たとえば、210〜214)の選択的成長、材料選択、および一部の除去、ならびに基板200およびウェル202〜208の選択的な除去、材料選択、およびドーパント濃度によって、本開示の範囲内において多数の異なる構造および電子デバイスが形成されてもよい。
さらに、基板200、ウェル202〜208、および各層(たとえば、210〜214)は、様々なプロセスによって選択的に除去または追加されてもよい。化学ウェットエッチング、化学機械平坦化(CMP)、プラズマエッチング、フォトレジストマスキング、ダマシンプロセス、およびその他の方法によって本開示の構造およびデバイスを作製してもよい。
図3は、本開示の一態様による相補型金属酸化膜半導体(CMOS)バラクタ300を示す。代表的に、CMOSバラクタ300は、金属−絶縁体−金属(MIM)コンデンサ310の、第2の板314として動作するゲート、誘電体層313として動作するゲート酸化物層、および第1の板312として動作するボディを含む。加えて、MIMコンデンサ310の第1の板312と第2の板314との間の距離を変調させて、可変静電容量をもたらすために、第1のドープ領域316および第2のドープ領域318が、第1の板312(たとえばボディ)を取り囲む。CMOSバラクタ300は、背面で基板302(たとえばハンドルシリコン(Si))上の分離層304(たとえば埋込み酸化物層)によって支持される。CMOSバラクタ300では、板幅が変調して、コンデンサ板間に形成される電界を変化させ、それにより、第1の板312と第2の板314との間の距離が調整される。
図3に示すように、入力ノード315ならびに出力ノード317および出力ノード319に従って、第1の板312が変調されて、第1の板312と第2の板314との間に形成される電界が変化する。MIMコンデンサ310の静電容量は一般に、誘電体層313の厚さによって制御される。しかしながら、CMOSバラクタ300では、静電容量は、入力ノード315と出力ノード317および出力ノード319との間の反転および空乏に従って調整され、これは事実上ダイオードとして動作する。残念なことに、第1の板312と第2の板314との間の距離を変化させることによって動作するこの可変容量ダイオードは、非直線性である。
さらに、CMOSバラクタ300はまた、基板302と分離層304との間に寄生ダイオード320を呈する。寄生ダイオード320は、基板302と分離層304をつなぎ合わせるために使用される接合プロセスによるものである。その結果、寄生ダイオード320はウエハ全体に及び、それにより、ウエハによって支えられているすべてのデバイスに影響が及ぶ。MIMコンデンサ310および寄生ダイオード320によって呈されるダイオードのため、CMOSバラクタ300は対称性を達成することができないようになっている。すなわち、CMOSバラクタ300によって受領されるどんな入力信号も、信号強度にかかわらず歪んでしまう。
残念なことに、CMOSバラクタ300の非対称性は、2次高調波、3次高調波、および4次高調波を発生させ、それが、RFシステムで使用されるときに信号リークを引き起こす。特に、RFシステムで非対称デバイスを使用すると、非対称デバイスによる非直線性が生じ、それが、RFシステムの同調性を妨げる。たとえば、CMOSバラクタ300が、キャリアアグリゲーションをサポートするRFトランシーバで使用されるとき、疑似高調波が、キャリアアグリゲーションの多重送受信機能に使用されるチャネルバンドとオーバーラップするおそれがある。すなわち、2次高調波が、キャリアアグリゲーションに使用される第2のバンドとオーバーラップするおそれがあり、3次高調波が、キャリアアグリゲーションに使用される第3のバンドとオーバーラップするおそれがある。
図4は、本開示の態様による対称バラクタ構造400を示す。本開示のこの態様では、第1のバラクタ構成要素410が、第2のバラクタ構成要素420に隣接して、サイアミーズ構成で配置構成される。サイアミーズ構成の対称バラクタ構造400によってもたらされる対称性は、2次高調波を打ち消す。対称バラクタ構造400は、第1のバラクタ構成要素410を第2のバラクタ構成要素420に結合する背面導電層430を通じて、対称バラクタをもたらす。
薄化および背面導電性相互接続プロセスによって、背面導電層430を形成することができる。背面導電層430が、第1のバラクタ構成要素410を第2のバラクタ構成要素420に電気的に結合し、それにより、コストが低減しQファクタが増大した対称バラクタがもたらされる。たとえば、導電性相互接続層(たとえば20ミクロン厚さの銅(Cu))は、抵抗の低減した、薄膜ベースの背面導電性相互接続(たとえば銅トレース)をもたらす。第1のバラクタ構成要素410のボディ(B)を第2のバラクタ構成要素420のボディBに接続することによって、側方信号損失が低減される。側方信号損失がQファクタを劣化させるので、対称バラクタ構造の活性領域内での側方信号損失を低減させることは、重要である。
代表的に、第1のバラクタ構成要素410は、第2の板414として動作するゲート(G)、誘電体層413として動作するゲート酸化物層(Gox)、およびエリア変調コンデンサである第1の板412として動作するボディ(B)を含む。第1のバラクタ構成要素410はまた、第1のバラクタ構成要素410の第1の板412(たとえばボディB)を取り囲む第1のドープ領域416および第2のドープ領域418を含む。この配置構成では、第1のバラクタ構成要素410が、背面で分離層406によって支持される。分離層406は、埋込み酸化物(BOX)層とすることができる。
本開示のこの態様では、第2のバラクタ構成要素420が、第1のバラクタ構成要素410の背面に背面導電層430を通じて電気的に結合される。第2のバラクタ構成要素420は、第2の板424として動作するゲート(G)、誘電体層423として動作するゲート酸化物層(Gox)、およびエリア変調コンデンサである第1の板422として動作するボディ(B)を含む。第2のバラクタ構成要素420はまた、第2のバラクタ構成要素420の第1の板422(たとえばボディB)を取り囲む第1のドープ領域426および第2のドープ領域428を含む。この配置構成では、第2のバラクタ構成要素420も、背面で分離層406によって支持される。
背面結合型バラクタはまた、第1のバラクタ構成要素410のゲートGのゲートコンタクト415に結合された第1の信号ポート440を含む。加えて、第1の制御ポート450が、第1のドープ領域416の第1の拡散コンタクト417、および第2のドープ領域418の第2の拡散コンタクト419に結合される。この配置構成では、第1の信号ポート440が第1の制御ポート450から分離されている。背面結合型バラクタはさらに、第2のバラクタ構成要素420のゲートGのゲートコンタクト425に結合された第2の信号ポート442を含む。加えて、第2の制御ポート452が、第1のドープ領域426の第1の拡散コンタクト427、および第2のドープ領域428の第2の拡散コンタクト429に結合される。この配置構成では、第2の信号ポート442も、第2の制御ポート452から分離されている。第1の信号ポート440および/または第2の信号ポート442への入力信号は、RF信号とすることができる。加えて、第1の制御ポート450および/または第2の制御ポート452への制御信号は、DC制御信号とすることができる。
この配置構成では、第1のバラクタ構成要素410の第2のドープ領域418が、第2のバラクタ構成要素420の第1のドープ領域426から、浅いトレンチ分離(STI)領域408によって隔てられている。加えて、背面導電層430が、パッシベーション層404によって覆われており、パッシベーション層404は、基板402に接合されている。この配置構成では、第1のバラクタ構成要素410および第2のバラクタ構成要素420は、ガラス、水晶、シリコン、ポリマー、または他の類似の絶縁体材料から構成されてよい基板によって、支持される。本開示の一態様では、パッシベーション層404にこの基板402を接合することによって、図3に示すCMOSバラクタ300に付随する寄生ダイオード320がなくなる。
動作の際には、第1のバラクタ構成要素410の第1の板412によってもたらされる板エリアが、第1の制御ポート450から受領したバイアス電圧に基づいて変調する。同様に、第2のバラクタ構成要素420の第1の板422によってもたらされる板エリアも、第2の制御ポート452から受領したバイアス電圧に基づいて変調する。たとえば、第1のバラクタ構成要素410のボディBを通る開口が、ボディBを通過する信号にほとんど影響を及ぼさないように、第1のドープ領域416および第2のドープ領域418に印加されたバイアス電圧に従って変調する。
この配置構成では、ボディBは、部分空乏浮遊ボディとして作製されてよい。この配置構成は、誘電体層413および誘電体層423の幅を維持しながら、可変静電容量をもたらす。すなわち、図3のCMOSバラクタ300と同様に第1の板と第2の板との間の距離を変調するのとは対照的に、第1の板412と第2の板414との間の距離、ならびに第1の板422と第2の板424との間の距離は、維持される。第1の板412および第2の板424によってもたらされる板エリア変調は、拡散領域(たとえば416、418、426、428)およびコンタクト(たとえば417、419、427、429)からの信号経路損失を低減させる。信号経路損失を回避することによって、対称バラクタ構造400は、高性能RFチューナブルデバイスを可能にするための対称性と直線性の両方をもたらす。
第1のバラクタ構成要素410および第2のバラクタ構成要素420を含む対称バラクタ構造400は、高性能RFチューナブルデバイスを可能にするために回路内に集積されてよい。回路は、次のものに限定されないが、電力増幅器(PA)、発振器(たとえば電圧制御発振器(VCO))、RFチューナ、RFトランシーバ、マルチプレクサ、RF回路ダイ、または、RFスイッチなど、他の類似のRF通信回路を含んでよい。対称バラクタ構造400は、モバイルRFトランシーバ内に集積されると、直線性ならびに大幅なQファクタの改善を呈することができる。
図4の配置構成に示されているが、対称バラクタ構造400はこの配置構成に限定されないことを認識されたい。さらに、絶えず縮小するプロセスノードとは対照的に、対称バラクタ構造400は、より大きいプロセスノードで作製されてよい。たとえば、対称バラクタ構造400は、180ナノメートルプロセスノードを使用して作製されてよい。その結果、対称バラクタ構造400の第1のバラクタ構成要素410および第2のバラクタ構成要素420によって被るエリアペナルティは、無視できるものになり、したがって、直線性の改善を優先して犠牲となる。
図5は、本開示の態様による対称バラクタ構造を作製する方法500を示す流れ図である。ブロック502で、第1のバラクタ構成要素が、背面結合型バラクタ構造の第2のバラクタ構成要素に隣接して作製される。たとえば、図4に示すように、第1のバラクタ構成要素410の背面が、第2のバラクタ構成要素420に隣接して、サイアミーズ構成で配置構成される。この配置構成では、第1のバラクタ構成要素410と第2のバラクタ構成要素420は、同じ構成を有する。
再び図5を参照すると、ブロック504で、第1のバラクタ構成要素のボディおよび第2のバラクタ構成要素のボディを露出させるように、バラクタ構造が薄化される。たとえば、図4に示すように、第1のバラクタ構成要素410のボディBを露出させるように、対称バラクタ構造400の背面が薄化される。加えて、対称バラクタ構造400の背面の薄化は、第2のバラクタ構成要素420のボディBも露出させる。第1のバラクタ構成要素410のボディBおよび第2のバラクタ構成要素420のボディBは、露出された後、対称背面コンタクト構造を使用することによって電気的に結合されてよい。
ブロック506で、第1のバラクタ構成要素のボディと第2のバラクタ構成要素のボディを電気的に結合するように、導電層が堆積およびパターニングされる。図4に示すように、背面導電層430は、第1のバラクタ構成要素410を第2のバラクタ構成要素420に電気的に結合する。一配置構成では、背面導電層430は、再配線層を使用して作製される。たとえば、第1のバラクタ構成要素410のボディBと第2のバラクタ構成要素420のボディBを結合するように、背面導電層430として再配線層(RDL)が堆積およびパターニングされてよい。背面導電層430上に、パッシベーション層404が堆積およびパターニングされてよい。パッシベーション層404に基板402を接合することによって、対称バラクタ構造400が完成する。本開示の一態様では、パッシベーション層404に基板402を接合することによって、図3に示すCMOSバラクタ300に付随する寄生ダイオード320がなくなる。
背面導電層430が、対称背面コンタクト構造をもたらし、これが、コストが低減しQファクタが増大した対称バラクタを可能にする。たとえば、導電性相互接続層(たとえば20ミクロン厚さの銅(Cu))を背面導電層430として使用することによって、抵抗の低減した、薄膜ベースの背面導電性相互接続(たとえば銅トレース)がもたらされる。第1のバラクタ構成要素410のボディ(B)を第2のバラクタ構成要素420のボディBに接続することによって、側方信号損失が低減される。側方信号損失がQファクタを劣化させるので、対称バラクタ構造400の活性領域内での側方信号損失を低減させることは、重要である。
一構成では、対称バラクタ構造について説明する。対称バラクタ構造は、第2のバラクタ構成要素を第1のバラクタ構成要素の背面に電気的に結合するための手段を含む。本開示の一態様では、電気的結合手段は、電気的結合手段によって具陳される機能を実施するように構成された、図4の背面導電層430である。別の態様では、前述の手段は、前述の手段によって具陳される機能を実施するように構成された、デバイスまたは任意の層とすることができる。
本開示の態様では、背面結合型対称バラクタについて説明する。一配置構成では、第1のバラクタ(第1のバラクタ構成要素とも呼ばれる)が、第2の板として動作するゲート、誘電体層として動作するゲート酸化物層、および板エリア変調コンデンサである第1の板として動作するボディを含む。加えて、ドープ領域がボディを取り囲み、第1のバラクタは、背面で分離層によって支持される。本開示の一態様では、バラクタは、バラクタのボディによってもたらされる板エリア内にエリア変調コンデンサを含み、それが、制御ポートから受領した、板エリア変調コンデンサを制御するためのバイアス電圧に基づいて変調する。加えて、第2のバラクタ(第2のバラクタ構成要素とも呼ばれる)が、第1のバラクタの背面に背面導電層を通じて電気的に結合される。
この配置構成では、第2のバラクタは、複製(たとえばサイアミーズ)バラクタとすることができ、この場合、第2のバラクタのボディが第1のバラクタのボディに結合されて、対称バラクタがもたらされる。第1のバラクタおよび第2のバラクタに対する信号ポートと制御ポートを分けることによって、背面接続が、RFシステム内でのどんな2次高調波も打ち消す対称バラクタを可能にする。加えて、第1のバラクタおよび第2のバラクタの板エリア変調コンデンサ能力が、コンデンサの直線性を改善する。加えて、分かれた制御ポートと信号ポートが、制御対信号のより高度の分離および直線性を可能にする。さらに、背面導電層の厚さの増大によって、高いQファクタの背面結合型対称バラクタがもたらされる。対称バラクタ構造は、180ナノメートルプロセスノードを使用して作製されてよい。その結果、対称バラクタ構造400の第1のバラクタ構成要素および第2のバラクタ構成要素によって被るエリアペナルティは、無視できるものになり、したがって、直線性の改善を優先して犠牲となる。
図6は、本開示の一態様が有利に利用される場合がある例示的なワイヤレス通信システム600を示すブロック図である。例示として、図6は、3つの遠隔ユニット620、630および650と、2つの基地局640とを示している。ワイヤレス通信システムがより多くの遠隔ユニットおよび基地局を有してよいことが認識されよう。遠隔ユニット620、630、および650は、ICデバイス625A、625C、および625Bを備え、これらのICデバイスは、開示された対称バラクタ構造を含む。基地局、スイッチングデバイス、およびネットワーク機器など、他のデバイスもまた開示された対称バラクタ構造を含んでよいことが認識されよう。図6は、基地局640から遠隔ユニット620、630、および650への順方向リンク信号680、ならびに、遠隔ユニット620、630、および650から基地局640への逆方向リンク信号690を示す。
図6では、遠隔ユニット620は携帯電話として示され、遠隔ユニット630はポータブルコンピュータとして示され、かつ遠隔ユニット650は、ワイヤレスローカルループシステムにおける固定位置遠隔ユニットとして示されている。たとえば、遠隔ユニット620、630、および650は、携帯電話、ハンドヘルドパーソナル通信システム(PCS)ユニット、パーソナルデジタルアシスタント(PDA)などのポータブルデータユニット、GPS対応デバイス、ナビゲーションデバイス、セットトップボックス、音楽プレーヤ、ビデオプレーヤ、エンターテインメントユニット、メーター読取り機器などの固定位置データユニット、またはデータもしくはコンピュータ命令を記憶する、もしくは取り出す通信デバイス、またはそれらの組合せであってよい。図6は本開示の態様による遠隔ユニットを示すが、本開示は、これらの示された例示的なユニットには限定されない。本開示の態様は、開示された対称バラクタ構造を含む多くのデバイスにおいて適切に採用される場合がある。
図7は、対称バラクタ構造などの半導体構成要素の回路設計、レイアウト設計、および論理設計のために使用される、設計用ワークステーションを示すブロック図である。設計用ワークステーション700は、オペレーティングシステムソフトウェア、サポートファイル、およびCadenceやOrCADなどの設計ソフトウェアを含むハードディスク702を含む。設計用ワークステーション700はまた、回路706または対称バラクタ構造などの半導体構成要素708の設計を容易にするために、ディスプレイ704も含む。記憶媒体710が、回路706または半導体構成要素708の設計を有形に記憶するために設けられる。回路706または半導体構成要素708の設計は、GDSIIまたはGERBERなどのファイルフォーマットで記憶媒体710に記憶することができる。記憶媒体710は、CD−ROM、DVD、ハードディスク、フラッシュメモリ、または他の適切なデバイスとすることができる。さらに、設計用ワークステーション700は、記憶媒体710から入力を受け取る、または記憶媒体710に出力を書き込むための、ドライブ装置712を含む。
記憶媒体710上に記録されたデータは、論理回路構成、フォトリソグラフィマスクのためのパターンデータ、または電子ビームリソグラフィなどのシリアル書込みツールのためのマスクパターンデータを指定してもよい。データはさらに、論理シミュレーションに関連したタイミング図やネット回路などの論理検証データを含んでもよい。記憶媒体710上にデータを確保すると、半導体ウエハを設計するためのプロセス数が減ることによって、回路706または半導体構成要素708の設計が容易になる。
ファームウェアおよび/またはソフトウェアの実装形態の場合、方法は、本明細書で説明する機能を実行するモジュール(たとえば、手順、機能など)を用いて実施されてもよい。本明細書で説明する方法を実施する際に、命令を有形に具現する機械可読媒体が使用されてもよい。たとえば、ソフトウェアコードは、メモリに記憶され、プロセッサユニットによって実行されてもよい。メモリは、プロセッサユニット内またはプロセッサユニットの外部に実装されてもよい。本明細書において使用される「メモリ」という用語は、長期メモリ、短期メモリ、揮発性メモリ、不揮発性メモリ、または他のメモリのタイプを指し、特定のタイプのメモリもしくは特定の数のメモリ、またはメモリが格納される媒体のタイプに限定すべきではない。
ファームウェアおよび/またはソフトウェアで実装される場合、機能は、コンピュータ可読媒体上に1つまたは複数の命令またはコードとして記憶されてもよい。例として、データ構造体で符号化されたコンピュータ可読媒体、およびコンピュータプログラムで符号化されたコンピュータ可読媒体がある。コンピュータ可読媒体は、物理的なコンピュータ記憶媒体を含む。記憶媒体は、コンピュータによってアクセスできる入手可能な媒体であってもよい。限定ではなく例として、そのようなコンピュータ可読媒体は、RAM、ROM、EEPROM、CD−ROMもしくは他の光ディスクストレージ、磁気ディスクストレージもしくは他の磁気記憶デバイス、または、所望のプログラムコードを命令もしくはデータ構造の形で記憶するために使用することができるとともに、コンピュータによってアクセスすることができる他の媒体を含むことができ、本明細書において使用されるディスク(disk)およびディスク(disc)は、コンパクトディスク(disc)(CD)、レーザーディスク(登録商標)(disc)、光ディスク(disc)、デジタル多用途ディスク(disc)(DVD)およびブルーレイディスク(disc)を含み、ディスク(disk)は通常、データを磁気的に再生し、ディスク(disc)はデータをレーザーを用いて光学的に再生する。上記の組合せも、コンピュータ可読媒体の範囲に含まれるべきである。
コンピュータ可読媒体に記憶することに加えて、命令および/またはデータは、通信装置に含まれる伝送媒体上の信号として提供されてもよい。たとえば、通信装置は、命令およびデータを表す信号を有するトランシーバを含んでもよい。命令およびデータは、1つまたは複数のプロセッサに、請求項に概説される機能を実施させるように構成される。
本開示およびその利点について詳細に説明したが、添付の特許請求の範囲によって定義される本開示の技術から逸脱することなく、明細書において様々な変更、置換、および改変が行われてもよいことを理解されたい。たとえば、「上」や「下」などの関係性の用語が、基板または電子デバイスに関して使用される。もちろん、基板または電子デバイスが上下逆さにされた場合、上は下になり、逆も同様である。加えて、横向きにされた場合、上および下は、基板または電子デバイスの両側のことを指すことがある。その上、本出願の範囲は、本明細書において説明したプロセス、機械、製造、組成物、手段、方法およびステップの特定の構成に限定されることを意図していない。本開示から当業者が容易に諒解するように、本明細書で説明される対応する構成と実質的に同じ機能を実行するかまたは実質的にそれと同じ結果を達成する、現存するかまたは今後開発されるプロセス、機械、製造、組成物、手段、方法、またはステップが、本開示に従って利用されてもよい。したがって、添付の特許請求の範囲は、そのようなプロセス、機械、製造、組成物、手段、方法、またはステップをそれらの範囲内に含むことを意図する。
本明細書の開示に関連して説明した様々な例示的な論理ブロック、モジュール、回路、およびアルゴリズムステップが、電子ハードウェア、コンピュータソフトウェア、または両方の組合せとして実装される場合があることは、当業者であればさらに諒解されよう。ハードウェアとソフトウェアのこの互換性を明確に説明するために、様々な例示的な構成要素、ブロック、モジュール、回路、およびステップについて、上記では概してそれらの機能に関して説明した。そのような機能性がハードウェアとして実装されるか、ソフトウェアとして実装されるかは、特定の用途およびシステム全体に課せられる設計制約によって決まる。当業者は、前述の機能を具体的な適用例ごとに様々な方法で実装してもよいが、そのような実装形態の決定は、本開示の範囲からの逸脱を引き起こすものと解釈されるべきではない。
本明細書の開示に関連して説明した様々な例示的な論理ブロック、モジュール、および回路は、本明細書において説明された機能を実行するように設計された汎用プロセッサ、デジタル信号プロセッサ(DSP)、特定用途向け集積回路(ASIC)、フィールドプログラマブルゲートアレイ(FPGA)もしくは他のプログラマブル論理デバイス、個別のゲートもしくはトランジスタロジック、個別のハードウェア構成要素、またはそれらの任意の組合せを用いて、実装または実行されてもよい。汎用プロセッサは、マイクロプロセッサであってもよいが、代替として、プロセッサは、任意の従来のプロセッサ、コントローラ、マイクロコントローラ、またはステートマシンであってもよい。プロセッサはまた、コンピューティングデバイスの組合せ、たとえば、DSPおよびマイクロプロセッサの組合せ、複数のマイクロプロセッサ、DSPコアと連携する1つまたは複数のマイクロプロセッサ、または任意の他のそのような構成として実装されてもよい。
本開示に関連して説明した方法またはアルゴリズムのステップは、ハードウェアにおいて直接、プロセッサによって実行されるソフトウェアモジュールで、またはその2つの組合せにおいて実施されてもよい。ソフトウェアモジュールは、RAM、フラッシュメモリ、ROM、EPROM、EEPROM、レジスタ、ハードディスク、リムーバブルディスク、CD−ROM、または当技術分野で知られている任意の他の形態の記憶媒体に存在してもよい。プロセッサが記憶媒体から情報を読み取ること、および記憶媒体に情報を書き込むことができるように、例示的な記憶媒体がプロセッサに結合される。代替として、記憶媒体は、プロセッサに一体化されてもよい。プロセッサおよび記憶媒体は、ASICに存在する場合がある。ASICは、ユーザ端末に存在してもよい。代替形態では、プロセッサおよび記憶媒体は、個別構成要素としてユーザ端末内に存在してもよい。
1つまたは複数の例示的な設計では、前述の機能は、ハードウェア、ソフトウェア、ファームウェア、またはそれらの任意の組合せにおいて実装される場合がある。ソフトウェアにおいて実装される場合、機能は、1つもしくは複数の命令またはコードとして、コンピュータ可読媒体上に記憶されるか、またはコンピュータ可読媒体を介して送信されてもよい。コンピュータ可読媒体は、コンピュータ記憶媒体と、コンピュータプログラムのある場所から別の場所への転送を容易にする任意の媒体を含む通信媒体との両方を含む。記憶媒体は、汎用コンピュータまたは専用コンピュータによってアクセスできる任意の入手可能な媒体であってもよい。限定ではなく例として、そのようなコンピュータ可読媒体は、RAM、ROM、EEPROM、CD−ROMもしくは他の光ディスクストレージ、磁気ディスクストレージもしくは他の磁気記憶デバイス、または命令もしくはデータ構造の形態で規定されたプログラムコード手段を搬送または格納するために使用することができ、汎用もしくは専用コンピュータ、または汎用もしくは専用プロセッサによってアクセスできる任意の他の媒体を含むことができる。また、任意の接続も厳密にはコンピュータ可読媒体と呼ばれる。たとえば、ソフトウェアが、同軸ケーブル、光ファイバケーブル、ツイストペア、デジタル加入者回線(DSL)、または赤外線、無線、およびマイクロ波などのワイヤレス技術を使用してウェブサイト、サーバ、または他のリモートソースから送信される場合、同軸ケーブル、光ファイバケーブル、ツイストペア、DSL、または赤外線、無線、およびマイクロ波などのワイヤレス技術は、媒体の定義に含まれる。ディスク(disk)およびディスク(disc)は、本明細書で使用するとき、コンパクトディスク(disc)(CD)、レーザーディスク(登録商標)(disc)、光ディスク(disc)、デジタル多用途ディスク(disc)(DVD)およびブルーレイディスク(disc)を含み、ディスク(disk)は、通常、データを磁気的に再生し、一方、ディスク(disc)は、データをレーザーで光学的に再生する。上記の組合せも、コンピュータ可読媒体の範囲に含まれるべきである。
上記の説明は、本明細書において説明する種々の態様を、いかなる当業者も実践できるようにするために提供される。これらの態様に対する種々の修正が当業者に容易に明らかになり、本明細書において規定される一般原理は他の態様に適用される場合がある。したがって、特許請求の範囲は、本明細書に示された態様に限定されるように意図されているのではなく、特許請求の範囲の文言と整合する全範囲を許容するように意図されており、ここにおいて、単数形の要素への言及は、そのように明記されていない限り、「唯一無二の」ではなく、むしろ「1つまたは複数」を意味するように意図されている。別段に明記されていない限り、「いくつかの」という用語は1つまたは複数を指している。項目のリスト「のうちの少なくとも1つ」に言及する句は、単一のメンバーを含むそれらの項目の任意の組合せを指す。一例として、「a、b、またはcのうちの少なくとも1つ」は、a;b;c;aおよびb;aおよびc;bおよびc;ならびにa、b、およびcを包含するものとする。当業者に周知であり、または後に当業者に知られることになる、本開示全体にわたって説明された様々な態様の要素に対するすべての構造的および機能的均等物が、参照によって本明細書に明白に組み込まれ、特許請求の範囲によって包含されるものとする。さらに、本明細書に開示されるものは、そのような開示が特許請求の範囲において明示的に記載されているか否かにかかわらず、公に供されることは意図していない。いかなるクレーム要素も、要素が「のための手段」という句を使用して明確に記載されていない限り、または、方法クレームの場合、要素が「のためのステップ」という句を使用して記載されていない限り、米国特許法第112条第6項の規定に基づいて解釈されるべきではない。
100 ウエハ
102 配向
104 ダイシングライン
106 ダイ
200 基板
202 ウェル
204 ウェル
206 ウェル
208 ウェル
210 層
212 層
214 層
300 相補型金属酸化膜半導体(CMOS)バラクタ
302 基板
304 分離層
310 金属−絶縁体−金属(MIM)コンデンサ
312 第1の板
313 誘電体層
314 第2の板
315 入力ノード
316 第1のドープ領域
317 出力ノード
318 第2のドープ領域
319 出力ノード
320 寄生ダイオード
400 対称バラクタ構造
402 基板
404 パッシベーション層
406 分離層
408 浅いトレンチ分離(STI)領域
410 第1のバラクタ構成要素
412 第1の板
413 誘電体層
414 第2の板
415 ゲートコンタクト
416 第1のドープ領域、拡散領域
417 第1の拡散コンタクト
418 第2のドープ領域、拡散領域
419 第2の拡散コンタクト
420 第2のバラクタ構成要素
422 第1の板
422 第1の板
423 誘電体層
424 第2の板
425 ゲートコンタクト
426 第1のドープ領域、拡散領域
427 第1の拡散コンタクト
428 第2のドープ領域、拡散領域
429 第2の拡散コンタクト
430 背面導電層
440 第1の信号ポート
442 第2の信号ポート
450 第1の制御ポート
452 第2の制御ポート
500 方法
600 ワイヤレス通信システム
620、630、650 遠隔ユニット
625A、625B、625C ICデバイス
640 基地局
680 順方向リンク信号
690 逆方向リンク信号
700 設計用ワークステーション
702 ハードディスク
704 ディスプレイ
706 回路
708 半導体構成要素
710 記憶媒体
712 ドライブ装置
B ボディ
G ゲート
Gox ゲート酸化物層

Claims (18)

  1. 第2の板として動作するゲート、誘電体層として動作するゲート酸化物層、およびエリア変調コンデンサである第1の板として動作するボディを有し、複数のドープ領域が前記ボディを取り囲む、第1のバラクタ構成要素であって、背面で分離層の前面表面によって支持される、第1のバラクタ構成要素と、
    前記背面で前記分離層の前記前面表面によって支持される、第2のバラクタ構成要素と、
    背面導電層であって、前記第1のバラクタ構成要素の前記背面電気的に結合され、前記第2のバラクタ構成要素の背面に電気的に結合するために、前記分離層を通って前記分離層の背面表面に沿い、前記背面表面を通って前記分離層の前記前面表面まで延在する、背面導電層
    を備える対称バラクタ構造。
  2. 前記ゲートに結合された信号ポートと、
    前記複数のドープ領域のうちの1つにそれぞれが結合された複数の制御ポートと
    をさらに備え、前記信号ポートが前記複数の制御ポートから分離されている、請求項1に記載の対称バラクタ構造。
  3. 前記第1の板の板エリアが、制御ポートから受領した、前記エリア変調コンデンサを制御するためのバイアス電圧に基づいて変調される、請求項1に記載の対称バラクタ構造。
  4. 前記分離層が埋込み酸化物層を備える、請求項1に記載の対称バラクタ構造。
  5. 前記第1のバラクタ構成要素および前記第2のバラクタ構成要素が、集積回路内に集積される、請求項1に記載の対称バラクタ構造。
  6. 前記集積回路が、電力増幅器(PA)、発振器、RF(無線周波数)チューナ、RFトランシーバ、マルチプレクサ、および/またはRF回路ダイを備える、請求項5に記載の対称バラクタ構造。
  7. 前記第1のバラクタ構成要素および前記第2のバラクタ構成要素が、RF(無線周波数)スイッチ内に集積される、請求項1に記載の対称バラクタ構造。
  8. 前記第1のバラクタ構成要素および前記第2のバラクタ構成要素が、ガラス、水晶、またはシリコンからなる基板によって支持される、請求項1に記載の対称バラクタ構造。
  9. 無線周波数チップ内に集積され、前記無線周波数チップが、音楽プレーヤ、ビデオプレーヤ、エンターテインメントユニット、ナビゲーションデバイス、通信デバイス、パーソナルデジタルアシスタント(PDA)、固定位置データユニット、およびコンピュータ、のうちの少なくとも1つに組み込まれた、請求項1に記載の対称バラクタ構造。
  10. 第2の板として動作するゲート、誘電体層として動作するゲート酸化物層、およびエリア変調コンデンサである第1の板として動作するボディを有し、複数のドープ領域が前記ボディを取り囲む、第1のバラクタ構成要素であって、背面で分離層の前面表面によって支持される、第1のバラクタ構成要素と、
    前記背面で前記分離層の第2の部分によって支持される、第2のバラクタ構成要素と、
    前記第2のバラクタ構成要素の背面を前記第1のバラクタ構成要素の前記背面に電気的に結合するために、前記分離層を通って前記分離層の背面表面に沿い、前記背面表面を通って前記分離層の前記前面表面まで延在することによって、前記第2のバラクタ構成要素の背面を前記第1のバラクタ構成要素の前記背面に電気的に結合するための手段と
    を備える対称バラクタ構造。
  11. 前記ゲートに結合された信号ポートと、
    前記複数のドープ領域のうちの1つにそれぞれが結合された複数の制御ポートと
    をさらに備え、前記信号ポートが前記複数の制御ポートから分離されている、請求項10に記載の対称バラクタ構造。
  12. 前記第1の板の板エリアが、制御ポートから受領した、前記エリア変調コンデンサを制御するためのバイアス電圧に基づいて変調される、請求項10に記載の対称バラクタ構造。
  13. 前記分離層が埋込み酸化物層を備える、請求項10に記載の対称バラクタ構造。
  14. 前記第1のバラクタ構成要素および前記第2のバラクタ構成要素が、集積回路内に集積される、請求項10に記載の対称バラクタ構造。
  15. 前記集積回路が、電力増幅器(PA)、発振器、RF(無線周波数)チューナ、RFトランシーバ、マルチプレクサ、および/またはRF回路ダイを備える、請求項14に記載の対称バラクタ構造。
  16. 前記第1のバラクタ構成要素および前記第2のバラクタ構成要素が、RF(無線周波数)スイッチ内に集積される、請求項14に記載の対称バラクタ構造。
  17. 前記第1のバラクタ構成要素および前記第2のバラクタ構成要素が、ガラス、水晶、またはシリコンからなる基板によって支持される、請求項14に記載の対称バラクタ構造。
  18. 無線周波数チップ内に集積され、前記無線周波数チップが、音楽プレーヤ、ビデオプレーヤ、エンターテインメントユニット、ナビゲーションデバイス、通信デバイス、パーソナルデジタルアシスタント(PDA)、固定位置データユニット、およびコンピュータ、のうちの少なくとも1つに組み込まれた、請求項14に記載の対称バラクタ構造。
JP2018512898A 2015-09-14 2016-08-01 背面結合型対称バラクタ構造 Active JP6396624B1 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US14/853,931 2015-09-14
US14/853,931 US9502586B1 (en) 2015-09-14 2015-09-14 Backside coupled symmetric varactor structure
PCT/US2016/045056 WO2017048384A1 (en) 2015-09-14 2016-08-01 Backside coupled symmetric varactor structure

Publications (2)

Publication Number Publication Date
JP6396624B1 true JP6396624B1 (ja) 2018-09-26
JP2018530915A JP2018530915A (ja) 2018-10-18

Family

ID=56694232

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2018512898A Active JP6396624B1 (ja) 2015-09-14 2016-08-01 背面結合型対称バラクタ構造

Country Status (9)

Country Link
US (2) US9502586B1 (ja)
EP (1) EP3350838B1 (ja)
JP (1) JP6396624B1 (ja)
KR (1) KR101941533B1 (ja)
CN (1) CN108028269B (ja)
BR (1) BR112018004937B1 (ja)
CA (1) CA2994820A1 (ja)
TW (1) TWI583007B (ja)
WO (1) WO2017048384A1 (ja)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9502586B1 (en) 2015-09-14 2016-11-22 Qualcomm Incorporated Backside coupled symmetric varactor structure
US9882066B1 (en) * 2017-02-10 2018-01-30 Qualcomm Incorporated Transcap manufacturing techniques without a silicide-blocking mask
US10707330B2 (en) * 2018-02-15 2020-07-07 Globalfoundries Inc. Semiconductor device with interconnect to source/drain
US10608124B2 (en) 2018-04-19 2020-03-31 Qualcomm Incorporated Back silicided variable capacitor devices
US10636872B1 (en) * 2018-10-31 2020-04-28 Globalfoundries Inc. Apparatus and method to prevent integrated circuit from entering latch-up mode
US11515197B2 (en) * 2019-07-11 2022-11-29 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and method of forming the semiconductor device
US11658220B2 (en) 2020-04-24 2023-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Drain side recess for back-side power rail device
US11581224B2 (en) 2020-05-08 2023-02-14 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming long channel back-side power rail device
US11791342B2 (en) 2021-11-17 2023-10-17 International Business Machines Corporation Varactor integrated with complementary metal-oxide semiconductor devices

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3877053A (en) 1973-05-07 1975-04-08 Gen Motors Corp Voltage controlled variable area solid state tuning capacitor
JP3167457B2 (ja) * 1992-10-22 2001-05-21 株式会社東芝 半導体装置
TW540154B (en) * 2001-06-04 2003-07-01 Promos Technologies Inc Deep trench capacitor structure and its manufacturing method
US7235862B2 (en) * 2001-07-10 2007-06-26 National Semiconductor Corporation Gate-enhanced junction varactor
JP5000055B2 (ja) * 2001-09-19 2012-08-15 ルネサスエレクトロニクス株式会社 半導体装置
JP2004214408A (ja) * 2002-12-27 2004-07-29 Nec Electronics Corp 電圧制御可変容量素子
US6847095B2 (en) * 2003-04-01 2005-01-25 Texas Instruments Incorporated Variable reactor (varactor) with engineered capacitance-voltage characteristics
KR100593444B1 (ko) * 2004-02-12 2006-06-28 삼성전자주식회사 모오스 바렉터를 갖는 반도체소자 및 그것을 제조하는 방법
US7863718B2 (en) * 2005-02-16 2011-01-04 Hitachi, Ltd. Electronic tag chip
US7276751B2 (en) * 2005-09-09 2007-10-02 International Business Machines Corporation Trench metal-insulator-metal (MIM) capacitors integrated with middle-of-line metal contacts, and method of fabricating same
JP2007336254A (ja) * 2006-06-15 2007-12-27 Oki Electric Ind Co Ltd 電圧制御発振器
US7816231B2 (en) * 2006-08-29 2010-10-19 International Business Machines Corporation Device structures including backside contacts, and methods for forming same
JP5261929B2 (ja) * 2006-12-15 2013-08-14 株式会社デンソー 半導体装置
JP2009064860A (ja) * 2007-09-05 2009-03-26 Renesas Technology Corp 半導体装置
KR101246348B1 (ko) * 2009-03-27 2013-03-25 고려대학교 산학협력단 모스 버랙터 제조방법
JP2010251426A (ja) 2009-04-13 2010-11-04 Sony Corp 可変容量素子及び電子機器
US8188528B2 (en) * 2009-05-07 2012-05-29 International Buiness Machines Corporation Structure and method to form EDRAM on SOI substrate
US8604586B2 (en) * 2009-08-06 2013-12-10 Qualcomm Incorporated High breakdown voltage embedded MIM capacitor structure
US9059319B2 (en) * 2010-01-25 2015-06-16 International Business Machines Corporation Embedded dynamic random access memory device and method
US8273616B2 (en) * 2010-02-19 2012-09-25 Taiwan Semiconductor Manufacturing Company, Ltd. Gated-varactors
US8450827B2 (en) 2011-01-25 2013-05-28 Taiwan Semiconductor Manufacturing Company, Ltd. MOS varactor structure and methods
US8803288B1 (en) * 2011-05-05 2014-08-12 Eta Semiconductor Inc. Analog transcap device
JP2012015538A (ja) * 2011-08-29 2012-01-19 Renesas Electronics Corp 半導体装置
US20130100090A1 (en) * 2011-10-21 2013-04-25 Qualcomm Mems Technologies, Inc. Electromechanical systems variable capacitance device
CN103545245B (zh) * 2012-07-10 2016-04-20 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法及处理方法
EP2693478B1 (en) 2012-07-31 2019-05-29 Nxp B.V. An integrated circuit based varactor
US9570222B2 (en) * 2013-05-28 2017-02-14 Tdk Corporation Vector inductor having multiple mutually coupled metalization layers providing high quality factor
JP5596819B2 (ja) * 2013-06-11 2014-09-24 ルネサスエレクトロニクス株式会社 半導体集積回路装置
US9502586B1 (en) 2015-09-14 2016-11-22 Qualcomm Incorporated Backside coupled symmetric varactor structure

Also Published As

Publication number Publication date
CN108028269A (zh) 2018-05-11
KR101941533B1 (ko) 2019-01-24
JP2018530915A (ja) 2018-10-18
WO2017048384A1 (en) 2017-03-23
TWI583007B (zh) 2017-05-11
US9502586B1 (en) 2016-11-22
EP3350838B1 (en) 2024-04-03
EP3350838C0 (en) 2024-04-03
CN108028269B (zh) 2019-06-28
BR112018004937A2 (pt) 2018-10-09
TW201712880A (zh) 2017-04-01
CA2994820A1 (en) 2017-03-23
US20170077093A1 (en) 2017-03-16
KR20180041258A (ko) 2018-04-23
BR112018004937B1 (pt) 2023-10-10
EP3350838A1 (en) 2018-07-25
US9721946B2 (en) 2017-08-01

Similar Documents

Publication Publication Date Title
JP6396624B1 (ja) 背面結合型対称バラクタ構造
KR102054924B1 (ko) 듀얼-사이디드 프로세싱을 갖는 로직 회로 블록 레이아웃들
CN109643691B (zh) 背面半导体生长
US9812580B1 (en) Deep trench active device with backside body contact
US10784348B2 (en) Porous semiconductor handle substrate
TW201917884A (zh) 多孔矽柱製程
US20190371891A1 (en) Bulk layer transfer based switch with backside silicidation
US9917062B1 (en) Self-aligned transistors for dual-side processing
US9966426B2 (en) Augmented capacitor structure for high quality (Q)-factor radio frequency (RF) applications
US10748934B2 (en) Silicon on insulator with multiple semiconductor thicknesses using layer transfer
US9633996B1 (en) High density area efficient thin-oxide decoupling capacitor using conductive gate resistor
US10566413B2 (en) MIM capacitor containing negative capacitance material
US10043752B2 (en) Substrate contact using dual sided silicidation
US20190027576A1 (en) Composite channel metal-oxide-semiconductor field effect transistor (mosfet)
US10326028B1 (en) Complementary metal-oxide-semiconductor (CMOS) voltage-controlled resistor
US10622491B2 (en) Well doping for metal oxide semiconductor (MOS) varactor
US10930730B2 (en) Enhanced active and passive devices for radio frequency (RF) process and design technology
JP2018509769A (ja) アナログおよび無線周波数性能の選択的な修正

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20180309

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20180309

A871 Explanation of circumstances concerning accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A871

Effective date: 20180309

A975 Report on accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A971005

Effective date: 20180720

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20180730

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20180829

R150 Certificate of patent or registration of utility model

Ref document number: 6396624

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250