TWI583007B - 背側耦合之對稱變容器結構 - Google Patents

背側耦合之對稱變容器結構 Download PDF

Info

Publication number
TWI583007B
TWI583007B TW105127040A TW105127040A TWI583007B TW I583007 B TWI583007 B TW I583007B TW 105127040 A TW105127040 A TW 105127040A TW 105127040 A TW105127040 A TW 105127040A TW I583007 B TWI583007 B TW I583007B
Authority
TW
Taiwan
Prior art keywords
varactor
assembly
symmetric
layer
back side
Prior art date
Application number
TW105127040A
Other languages
English (en)
Other versions
TW201712880A (zh
Inventor
丹尼爾 金戴克
大衛 法蘭西斯 伯帝
傑雄 藍
章漢 霍比 雲
龍海 金
Original Assignee
高通公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 高通公司 filed Critical 高通公司
Publication of TW201712880A publication Critical patent/TW201712880A/zh
Application granted granted Critical
Publication of TWI583007B publication Critical patent/TWI583007B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/0805Capacitors only
    • H01L27/0808Varactor diodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76264SOI together with lateral isolation, e.g. using local oxidation of silicon, or dielectric or polycristalline material refilled trench or air gap isolation regions, e.g. completely isolated semiconductor islands
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66083Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by variation of the electric current supplied or the electric potential applied, to one or more of the electrodes carrying the current to be rectified, amplified, oscillated or switched, e.g. two-terminal devices
    • H01L29/66174Capacitors with PN or Schottky junction, e.g. varactors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66083Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by variation of the electric current supplied or the electric potential applied, to one or more of the electrodes carrying the current to be rectified, amplified, oscillated or switched, e.g. two-terminal devices
    • H01L29/66181Conductor-insulator-semiconductor capacitors, e.g. trench capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/86Types of semiconductor device ; Multistep manufacturing processes therefor controllable only by variation of the electric current supplied, or only the electric potential applied, to one or more of the electrodes carrying the current to be rectified, amplified, oscillated or switched
    • H01L29/92Capacitors having potential barriers
    • H01L29/93Variable capacitance diodes, e.g. varactors

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Optical Modulation, Optical Deflection, Nonlinear Optics, Optical Demodulation, Optical Logic Elements (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

背側耦合之對稱變容器結構
本發明之態樣係關於半導體裝置,且更特定言之,係關於背側耦合之對稱變容器結構。
用於積體電路(IC)之半導體製造的程序流程可包括前段製程(FEOL)、中段製程(MOL)及後段製程(BEOL)程序。前段製程程序可包括晶圓製備、隔離、井形成、閘極圖案化、隔片、延伸及源極/汲極植入、矽化物形成及雙重應力襯裡形成。中段製程程序可包括閘極接點形成。中段製程層可包括(但不限於)緊密接近於半導體裝置電晶體或其他相似主動裝置的中段製程接點、通孔或其他層。後段製程程序可包括用於互連在前段製程及中段製程期間所形成之半導體裝置的一系列晶圓處理步驟。現代半導體晶片產品之成功製造涉及材料與所採用程序之間的相互作用。 歸因於成本及功率消耗考量,行動射頻(RF)晶片設計(例如,行動RF收發器)已遷移至深亞微米製程節點。行動RF收發器之設計複雜度由於所添加之用以支援通信增強的電路功能而進一步複雜化。針對行動RF收發器之進一步設計挑戰包括類比/RF效能考量,包括失配、雜訊及其他效能考量。此等行動RF收發器之設計包括使用電壓受控電容及/或可調諧電容器(例如,變容器)來(例如)提供電壓受控振盪器。變容器亦可稱為變容二極體。
一種對稱變容器結構可包括第一變容器組件。該第一變容器組件可包括作為一面積調變電容器之第二板極而操作的閘極、作為該面積調變電容器之介電層而操作的閘氧化物層及作為該面積調變電容器之第一板極而操作的本體。此外,摻雜區域可圍繞第一變容器組件之本體。第一變容器組件可在背側上由隔離層支撐。該對稱變容器結構亦可包括經由背側導電層電耦合至第一變容器組件之背側的第二變容器組件。 一種製造對稱變容器結構的方法包括在隔離層上鄰近於對稱變容器結構之第二變容器組件製造第一變容器組件。該方法亦包括薄化該對稱變容器結構以曝露第一變容器組件之本體及第二變容器組件之本體。該方法進一步包括沈積且圖案化導電層以將第一變容器組件之本體與第二變容器組件之本體耦合。 一種對稱變容器結構可包括第一變容器組件。該第一變容器組件可包括作為一面積調變電容器之第二板極而操作的閘極、作為該面積調變電容器之介電層而操作的閘氧化物層及作為該面積調變電容器之第一板極而操作的本體。此外,摻雜區域可圍繞第一變容器組件之本體。該第一變容器組件可在背側上由隔離層支撐。該對稱變容器結構亦可包括第二變容器組件。該對稱變容器結構可進一步包括用於將第二變容器組件電耦合至第一變容器組件之背側的構件。 此已經相當寬泛地概述了本發明之特徵及技術優點,以便可較佳理解下文之詳細描述。下文將描述本發明之額外特徵及優點。熟習此項技術者應瞭解,本發明可易於用作修改或設計其他結構以供執行本發明之相同目的之依據。熟習此項技術者亦應意識到,此類等效構造並不脫離如在所附申請專利範圍中所闡述之本發明的教示。在結合隨附圖式考慮時,自以下描述將較佳理解咸信為本發明之特徵的關於其組織及操作方法兩者之新穎特性以及其他對象及優點。然而,應明確地理解,諸圖中之每一者僅出於說明及描述之目的而予以提供,且並非意圖作為本發明之限制的界定。
以下結合隨附圖式所闡述之詳細描述意欲作為對各種組態之描述,且並不意欲表示可實踐本文所描述之概念的僅有組態。出於提供對各種概念的透徹理解之目的,詳細描述包括特定細節。然而,熟習此項技術者將明白,可在無此等特定細節之情況下實踐此等概念。在一些情況下,熟知結構及組件係以方塊圖形式展示,以便避免混淆此類概念。如本文所描述,術語「及/或」之使用意欲表示「包括性或」,且術語「或」之使用意欲表示「互斥或」。 歸因於成本及功率消耗考量,行動射頻(RF)晶片設計(例如,行動RF收發器)已遷移至深亞微米製程節點。行動RF收發器之設計複雜度由於所添加之用以支援通信增強(諸如載波聚合)的電路功能而進一步複雜化。針對行動RF收發器之進一步設計挑戰包括類比/RF效能考量,包括失配、雜訊及其他效能考量。此等行動RF收發器之設計包括使用電壓受控電容及/或可調諧電容器(例如,變容器)來(例如)提供電壓受控振盪器。變容器亦可稱為變容二極體。 變容器為用於在根據一電容值緊密地隔開的電容器板極之間的電場中儲存能量(例如,電荷)之電裝置的實例。此電容值提供由電容器在某一電壓下儲存之電荷量的量測值。除其電荷儲存能力之外,電容器亦適用作電子濾波器,此係因為其能夠實現在高頻信號與低頻信號之間的辨別。然而,在習知變容器中,板極寬度調變以使形成於電容器板極之間的電場變化。此變容器表現出可使用於經調諧電路中的電性可控電容。雖然變容器之使用在諸多應用中為有利的(例如,歸因於小型大小及降低之成本),但變容器通常展現較低品質(Q)因數及非線性,此係因為變容器為不對稱裝置。 線性在行動RF晶片設計中為重要因素。線性可指輸出信號以與輸入信號成正比之形式變化的電路行為。在線性裝置中,不管輸入信號之強度如何,輸出與輸入信號振幅比率應相同。如所指出,變容器為不對稱裝置之實例。舉例而言,習知標準互補金屬氧化物半導體(CMOS)變容器無法實施全對稱變容器。對稱性之此缺乏致使標準CMOS變容器在用於RF系統中時產生導致信號洩漏之二次及三次諧波。特定言之,不對稱裝置在RF系統中之使用產生來自該等裝置之非線性,其使得不能實現RF系統可調諧性。 本發明之各種態樣提供用於製造背側耦合之對稱變容器的技術。用於背側耦合之對稱變容器的半導體製造之程序流程可包括前段製程(FEOL)程序、中段製程(MOL)程序及後段製程(BEOL)程序。應理解,術語「層」包括薄膜,且除非另外說明,否則不解釋為指示垂直或水平厚度。如本文所描述,術語「基板」可指經切割之晶圓的基板或可指未經切割之晶圓的基板。類似地,術語晶片與晶粒可互換地使用,除非此等互換不可信。 本發明之態樣描述一種對稱變容器結構。在一個配置中,第一變容器組件包括作為一板極面積調變電容器之第一板極而操作的閘極、作為該板極面積調變電容器之介電層而操作的閘氧化物層及作為該板極面積調變電容器之第二板極而操作的本體。此外,摻雜區域圍繞該本體,且該第一變容器組件在背側上由隔離層支撐。在本發明之此態樣中,該變容器包括在由該變容器之本體提供之板極面積中的面積調變電容器,該板極面積基於自控制埠所接收之偏壓電壓而調變以控制該板極面積調變電容器。此外,第二變容器組件經由背側導電層電耦合至第一變容器之背側,該背側導電層消除由單個變容器組件所導致之二次諧波。 在此配置中,第二變容器組件可為重複(例如,聯體)變容器,其中第二變容器組件之本體耦合至第一變容器組件之本體以提供對稱變容器。藉由對於第一及第二變容器組件將信號埠與控制埠分開,該背側連接能夠實現一種消除RF系統中之任何二次諧波的對稱變容器。此外,第一及第二變容器組件之板極面積調變電容器能力提供改良之電容器線性。此外,分開的控制埠與信號埠能夠實現對信號隔離及線性之較高控制。此外,背側耦合之對稱變容器的高Q因數係由背側導電層之增大的厚度提供。對稱變容器結構雖然引發歸因於第一及第二變容器組件的面積損失,但可展現Q因數改良。 圖1說明本發明之一態樣中的半導體晶圓之透視圖。晶圓100可為半導體晶圓,或可為在晶圓100之表面上具有一或多個半導體材料層的基板材料。當晶圓100為半導體材料時,其可使用柴氏(Czochralski)製程自晶種生長,其中晶種浸漬至半導體材料之熔融浴槽中且緩慢旋轉且自浴槽中移除。熔融材料接著在晶體之定向上在晶種上結晶。 晶圓100可為化合物材料(諸如砷化鎵(GaAs)或氮化鎵(GaN))、三元材料(諸如,砷化銦鎵(InGaAs))、四元材料,或可為用於其他半導體材料之基板材料的任何材料。雖然諸多材料實際上可為晶體狀,但多晶或非晶形材料亦可用於晶圓100。 可為晶圓100或耦合至晶圓100之層供應使晶圓100更導電之材料。舉例而言,而非作為限制,矽晶圓可具有添加至晶圓100以允許電荷在晶圓100中流動的磷或硼。此等添加物稱為摻雜劑,且在晶圓100或晶圓100之部分內提供額外電荷載流子(電子或電洞)。藉由選擇提供額外電荷載流子的區、所提供之電荷載流子的類型及晶圓100中之額外電荷載流子的量(密度),不同類型之電子裝置可形成於晶圓100之中或之上。 晶圓100具有指示晶圓100之結晶定向的定向102。定向102可為如圖1中所展示的晶圓100之平坦邊緣,或可為用以說明晶圓100之結晶定向的凹口或其他標記。定向102可指示用於晶圓100中之晶格的平面之米勒指數(Miller Indices)。 一旦已視需要處理晶圓100,則將晶圓100沿著切割線104切分。切割線104指示晶圓100在何處被分解或分成若干片。切割線104可界定已在晶圓100上製造的各種積體電路之輪廓。 一旦界定切割線104,即可將晶圓100鋸切或以其他方式分成若干片以形成晶粒106。晶粒106中之每一者可為具有諸多裝置之積體電路或可為單個電子裝置。晶粒106 (其亦可稱作晶片或半導體晶片)之實體大小至少部分取決於將晶圓100分成特定大小的能力以及晶粒106經設計以含有的個別裝置之數目。 一旦晶圓100已分成一或多個晶粒106,即可將晶粒106安裝至封裝中以允許取用製造於晶粒106上之裝置及/或積體電路。封裝可包括單列直插封裝、雙列直插封裝、母板封裝、覆晶封裝、銦點/凸塊封裝或提供對晶粒106之取用的其他類型之裝置。亦可在不將晶粒106安裝至單獨封裝中的情況下經由線接合、探針或其他連接件直接取用晶粒106。 圖2說明根據本發明之一態樣之晶粒106的橫截面圖。在晶粒106中,可存在基板200,其可為半導體材料及/或可充當電子裝置之機械支撐件。基板200可為摻雜半導體基板,其具有存在於整個基板200中之電子(所指定之N通道)或電洞(所指定之P通道)電荷載流子。隨後藉由電荷載流子離子/原子摻雜基板200可改變基板200之電荷攜載能力。 在基板200 (例如,半導體基板)內,可存在可為場效電晶體(FET)之源極及/或汲極的井202及204,或井202及/或204可為鰭結構化FET (FinFET)之鰭結構。視井202及/或204之結構及其他特徵以及基板200之周圍結構而定,井202及/或204亦可為其他裝置(例如,電阻器、電容器、二極體或其他電子裝置)。 半導體基板亦可具有井206及井208。井208可完全在井206內,且在一些情況下可形成雙極接面電晶體(BJT)。井206亦可用作隔離井以將井208自晶粒106內之電場及/或磁場隔離。 可將層(例如,210至214)添加至晶粒106。層210可為(例如)可將井(例如,202至208)自彼此或自晶粒106上之其他裝置隔離的氧化物或絕緣層。在此類情況下,層210可為二氧化矽、聚合物、介電質或另一電絕緣層。層210亦可為互連層,在此情況下,其可包含導電材料,諸如銅、鎢、鋁、合金或其他導電或金屬材料。 層212亦可為介電層或導電層,其取決於所要裝置特性及/或層(例如,210及214)之材料。層214可為囊封層,其可保護層(例如,210及212)以及井202至208及基板200免遭外力損害。舉例而言,而非作為限制,層214可為保護晶粒106免遭機械損害的層,或層214可為保護晶粒106免遭電磁或輻射損害的材料層。 晶粒106上所設計之電子裝置可包含諸多特徵或結構組件。舉例而言,晶粒106可經受將摻雜劑賦予至基板200、井202至208及(必要時)層(例如,210至214)中的任何數目種方法。舉例而言,而非作為限制,晶粒106可經受離子植入、經由擴散製程被驅動至晶格中的摻雜劑原子之沈積、化學氣相沈積、磊晶生長或其他方法。經由層(例如,210至214)之部分的選擇性生長、材料選擇及移除,以及經由基板200及井202至208的選擇性移除、材料選擇及摻雜劑濃集,可在本發明之範疇內形成諸多不同結構及電子裝置。 此外,可經由各種程序選擇性地移除或添加基板200、井202至208及層(例如,210至214)。化學濕式蝕刻、化學機械平坦化(CMP)、電漿蝕刻、光阻遮蔽、鑲嵌製程及其他方法可產生本發明之結構及裝置。 圖3說明根據本發明之一態樣的互補金屬氧化物半導體(CMOS)變容器300。代表性地,CMOS變容器300包括作為金屬-絕緣體-金屬(MIM)電容器310之第二板極314而操作的閘極、作為該MIM電容器之介電層313而操作的閘氧化物層及作為該MIM電容器之第一板極312而操作的本體。此外,第一摻雜區域316及第二摻雜區域318圍繞第一板極312(例如,本體)以調變該MIM電容器310之第一板極312與第二板極314之間的距離,從而提供可變電容。CMOS變容器300在背側上由在基板302 (例如,手柄用矽(Si))上之隔離層304 (例如,內埋氧化物層)支撐。在CMOS變容器300中,板極寬度調變以改變形成於電容器板極之間的電場,從而調整第一板極312與第二板極314之間的距離。 如3圖中所展示,第一板極312經調變以根據輸入節點315以及輸出節點317及319來改變形成於第一板極312與第二板極314之間的電場。MIM電容器310之電容通常藉由介電層313之厚度予以控制。然而,在CMOS變容器300中,電容係根據有效地作為二極體而操作的輸入節點315與輸出節點317及319之間的反轉及空乏而加以調整。令人遺憾地,藉由改變第一板極312與第二板極314之間的距離而操作的此變容二極體為非線性的。 此外,CMOS變容器300亦展現在基板302與隔離層304之間的寄生二極體320。寄生二極體320係因用於接合基板302與隔離層304的黏合程序所致。因此,寄生二極體320橫跨整個晶圓,其影響晶圓攜載的所有裝置。由MIM電容器310及寄生二極體320展現之二極體使得CMOS變容器300不能達成對稱性。亦即,無關於信號強度,藉由CMOS變容器300所接收之任何輸入信號會失真。 令人遺憾地,CMOS變容器300之不對稱性產生二次、三次及四次諧波,其在用於RF系統中時導致信號洩漏。特定言之,不對稱裝置在RF系統中之使用產生來自該等不對稱裝置之非線性,其使得不能實現RF系統可調諧性。舉例而言,當CMOS變容器300使用於支援載波聚合之RF收發器中時,人為諧波可能與用於載波聚合之多個傳輸及接收功能的通道波段重疊。亦即,二次諧波可能與用於載波聚合的第二波段重疊,且三次諧波可能與用於載波聚合的第三波段重疊。 圖4說明根據本發明之態樣的對稱變容器結構400。在本發明之此態樣中,第一變容器組件410以聯體組態配置為鄰近於第二變容器組件420。由在該聯體組態中之對稱變容器結構400提供的對稱性消除二次諧波。對稱變容器結構400經由將第一變容器組件410耦合至第二變容器組件420的背側導電層430來提供對稱變容器。 薄化及背側導電互連程序可形成背側導電層430。背側導電層430將第一變容器組件410電耦合至第二組件420以提供具有降低之成本及增大之Q因數的對稱變容器。舉例而言,導電互連層(例如,20微米厚之銅(Cu))提供基於薄膜之具有降低之電阻的背側導電互連件(例如,銅跡線)。藉由將第一變容器組件410之本體(B)連接至第二變容器組件420之本體B,側向信號損失得以減少。減少對稱變容器結構之作用區域中的側向信號損失係重要的,此係因為側向信號損失降低Q因數。 代表性地,第一變容器組件410可包括作為一面積調變電容器之第二板極414而操作的閘極(G)、作為該面積調變電容器之介電層413而操作的閘氧化物層(Gox)及作為該面積調變電容器之第一板極412而操作的本體(B)。第一變容器組件410亦包括圍繞第一變容器組件410之第一板極412 (例如,本體B)的第一摻雜區域416及第二摻雜區域418。在此配置中,第一變容器組件410在背側上由隔離層406支撐。隔離層406可為內埋氧化物(BOX)層。 在本發明之此態樣中,第二變容器組件420經由背側導電層430電耦合至第一變容器組件410之背側。第二變容器組件420包括作為一面積調變電容器之第二板極424而操作的閘極(G)、作為該面積調變電容器之介電層423而操作的閘氧化物層(Gox)及作為該面積調變電容器之第一板極422而操作的本體(B)。第二變容器組件420亦包括圍繞第二變容器組件420之第一板極422 (例如,本體B)的第一摻雜區域426及第二摻雜區域428。在此配置中,第二變容器組件420亦在背側上由隔離層406支撐。 該背側耦合之變容器亦包括耦合至第一變容器組件410之閘極G之閘極接點415的第一信號埠440。此外,第一控制埠450耦合至第一摻雜區域416之第一擴散接點417及第二摻雜區域418之第二擴散接點419。在此配置中,第一信號埠440與第一控制埠450隔離。該背側耦合之變容器進一步包括耦合至第二變容器組件420之閘極G之閘極接點425的第二信號埠442。此外,第二控制埠452耦合至第一摻雜區域426之第一擴散接點427及第二摻雜區域428之第二擴散接點429。在此配置中,第二信號埠442與第二控制埠452隔離。至第一信號埠440及/或第二信號埠442之輸入信號可為RF信號。此外,至第一控制埠450及/或第二控制埠452之控制信號可為DC控制信號。 在此配置中,第一變容器組件410之第二摻雜區域418藉由淺槽隔離(STI)區域408與第二變容器組件420之第一摻雜區域426隔開。此外,背側導電層430由黏合至基板402之鈍化層404覆蓋。在此配置中,第一變容器組件410及第二變容器組件420藉由可由玻璃、石英、矽、聚合物或其他相似絕緣體材料構成之基板支撐。在本發明之一個態樣中,將基板402黏合至鈍化層404消除與圖3中所展示之CMOS變容器300相關聯的寄生二極體320。 在操作中,由第一變容器組件410之第一板極412提供之板極面積基於自第一埠450所接收之偏壓電壓而調變。類似地,由第二變容器組件420之第一板極422提供之板極面積基於自第二控制埠452所接收之偏壓電壓而調變。舉例而言,貫穿第一變容器組件410之本體B的孔口根據施加至第一摻雜區域416及第二摻雜區域418的偏壓電壓而調變以極少影響穿過本體B之信號。 在此配置中,本體B可製造為部分空乏之浮動體。此配置提供可變電容,同時維持介電層413及介電層423之寬度。亦即,與如在圖3之CMOS變容器300中調變第一板極與第二板極之間的距離相比,第一板極412與第二板極414之間的距離以及第一板極422與第二板極424之間的距離得以維持。由第一板極412及第二板極424提供之板極面積調變降低來自擴散區域(例如,416、418、426、428)及接點(例如,417、419、427、429)之信號路徑損失。藉由避免信號路徑損失,對稱變容器結構400提供對稱性及線性兩者以能夠實現高效能RF可調諧裝置。 包括第一變容器組件410及第二組件420之對稱變容器結構400可整合於一電路中以能夠實現高效能RF可調諧裝置。該電路可包括(但不限於)功率放大器(PA)、振盪器(例如,電壓受控振盪器(VCO))、RF調諧器、RF收發器、多工器、RF電路晶粒或其他相似RF通信電路,諸如RF開關。對稱變容器結構400在整合於行動RF收發器中時可展現線性以及實質性Q因數改良。 雖然展示於圖4之配置中,但應認識到,對稱變容器結構400不限於此配置。此外,與恆定地減小之製程節點相比,可在較大製程節點處製造對稱變容器結構400。舉例而言,可使用一百八十(180)個奈米製程節點來製造對稱結構400。結果,由對稱變容器結構400之第一變容器組件410及第二變容器組件420引發之面積損失可忽略,且因此犧牲以利於改良線性。 圖5為說明根據本發明之態樣製造對稱變容器結構的方法500之流程圖。在區塊502處,鄰近於背側耦合之變容器結構的第二變容器組件製造第一變容器組件。舉例而言,如圖4中所展示,第一變容器組件410之背側以聯體組態配置為鄰近於第二變容器組件420。在此配置中,第一變容器組件410與第二變容器組件420具有相同組態。 再次參看圖5,在區塊504處,變容器結構經薄化以曝露第一變容器組件之本體及第二變容器組件之本體。舉例而言,如圖4中所展示,對稱變容器結構400之背側經薄化以曝露第一變容器組件410之本體B。此外,對稱變容器結構400之背側的薄化亦曝露第二變容器組件420之本體B。一旦曝露,第一變容器組件410之本體B與第二變容器組件420之本體B即可藉由使用對稱背側接點結構電耦合。 在區塊506處,導電層經沈積且圖案化以將第一變容器組件之本體與第二變容器組件之本體電耦合。如圖4中所展示,背側導電層430將第一變容器組件410電耦合至第二變容器組件420。在一個配置中,使用重佈層製造背側導電層430。舉例而言,重佈層(RDL)可經沈積且圖案化為背側導電層430以將第一變容器組件410之本體B與第二變容器組件420之本體B耦合。鈍化層404可經沈積且圖案化於背側導電層430上。藉由將基板402黏合至鈍化層404來完成對稱變容器結構400。在本發明之一個態樣中,將基板402黏合至鈍化層404消除與圖3中所展示之CMOS變容器300相關聯的寄生二極體320。 背側導電層430提供能夠實現具有降低之成本及增大之Q因數的對稱變容器之對稱背側接點結構。舉例而言,使用導電互連層(例如,20微米厚之銅(Cu))作為背側導電層430提供基於薄膜之具有降低之電阻的背側導電互連件(例如,銅跡線)。藉由將第一變容器組件410之本體(B)連接至第二變容器組件420之本體B,側向信號損失得以減少。減少對稱變容器結構400之作用區域中的側向信號損失係重要的,此係因為側向信號損失降低Q因數。 在一個組態中,描述一種對稱變容器結構。該對稱變容器結構包括用於將第二變容器組件電耦合至第一變容器組件之背側的構件。在本發明之一個態樣中,該電耦合構件為圖4之背側導電層430,其經組態以執行該電耦合構件所述之功能。在另一態樣中,前述構件可為經組態以執行前述構件所述的功能的裝置或任何層。 本發明之態樣描述一種背側耦合之對稱變容器。在一個配置中,第一變容器(亦稱為第一變容器組件)包括作為一板極面積調變電容器之第一板極而操作的閘極、作為該板極面積調變電容器之介電層而操作的閘氧化物層及作為該板極面積調變電容器之第二板極而操作的本體。此外,摻雜區域圍繞該本體,且該第一變容器在背側上由隔離層支撐。在本發明之一個態樣中,該變容器包括由該變容器之本體提供之板極面積中的面積調變電容器,該板極面積基於自控制埠所接收之偏壓電壓而調變以控制該板極面積調變電容器。此外,第二變容器(亦稱為第二變容器組件)經由背側導電層電耦合至第一變容器之背側。 在此配置中,第二變容器可為重複(例如,聯體)變容器,其中第二變容器之本體耦合至第一變容器之本體以提供對稱變容器。藉由對於第一及第二變容器將信號埠與控制埠分開,該背側連接能夠實現一種消除RF系統中之任何二次諧波的對稱變容器。此外,第一及第二變容器之板極面積調變電容器能力提供改良之電容器線性。此外,分開的控制埠與信號埠能夠實現對信號隔離及線性之較高控制。此外,背側耦合之對稱變容器的高Q因數係由背側導電層之增大的厚度提供。可使用一百八十(180)個奈米製程節點來製造對稱變容器結構。因此,由對稱變容器結構400之第一變容器組件及第二變容器組件引發之面積損失可忽略,且因此犧牲以利於改良線性。 圖6為展示可有利地採用本發明之一態樣的例示性無線通信系統600之方塊圖。出於說明之目的,圖6展示三個遠端單元620、630及650以及兩個基地台640。應認識到,無線通信系統可具有更多遠端單元及基地台。遠端單元620、630及650包括IC裝置625A、625C及625B,該等IC裝置包括所揭示之對稱變容器結構。應認識到,其他裝置亦可包括所揭示之對稱變容器結構,諸如基地台、切換裝置及網路裝備。圖6展示自基地台640至遠端單元620、630及650之前向連結信號680以及自遠端單元620、630及650至基地台640的反向連結信號690。 在圖6中,遠端單元620展示為行動電話,遠端單元630展示為攜帶型電腦,且遠端單元650展示為無線區域迴路系統中之固定位置遠端單元。舉例而言,遠端單元620、630及650可為行動電話、手持型個人通信系統(PCS)單元、諸如個人數位助理(PDA)之攜帶型資料單元、GPS致能裝置、導航裝置、機上盒、音樂播放器、視訊播放器、娛樂單元、諸如儀錶讀取裝備之固定位置資料單元或儲存或擷取資料或電腦指令之通信裝置,或其組合。雖然圖6說明根據本發明之態樣的遠端單元,但本發明不限於此等例示性說明單元。本發明之態樣可適合地用於包括所揭示之對稱變容器結構的諸多裝置。 圖7為說明用於半導體組件(諸如對稱變容器結構)之電路、佈局及邏輯設計的設計工作台之方塊圖。設計工作台700包括含有作業系統軟體、支援檔案及諸如Cadence或OrCAD之設計軟體的硬碟702。設計工作台700亦包括用以促進電路706或半導體組件708 (諸如對稱變容器結構)之設計的顯示器704。提供儲存媒體710以供有形地儲存電路706或半導體組件708之設計。電路706或半導體組件708之設計可以諸如GDSII或GERBER之檔案格式儲存於儲存媒體710上。儲存媒體710可為CD-ROM、DVD、硬碟、快閃記憶體或其他適當裝置。此外,設計工作台700包括用於接受來自儲存媒體710之輸入或將輸出寫入至儲存媒體710的驅動設備712。 記錄於儲存媒體710上之資料可指定邏輯電路組態、用於光微影遮罩之圖案資料,或用於串列寫入工具(諸如電子束微影)之遮罩圖案資料。資料可進一步包括邏輯驗證資料,諸如與邏輯模擬相關聯之時序圖或網路電路。於儲存媒體710上提供資料藉由減少用於設計半導體晶圓之程序的數目而促進電路706或半導體組件708的設計。 對於韌體及/或軟體實施,可藉由執行本文所描述之功能的模組(例如,程序、函式,等等)來實施該等方法。可使用有形地體現指令之機器可讀媒體來實施本文所描述之方法。舉例而言,軟體程式碼可儲存於記憶體中,且由處理器單元來執行。記憶體可實施於處理器單元內或處理器單元外部。如本文所使用,術語「記憶體」係指長期、短期、揮發性、非揮發性或其他記憶體類型,且不限於特定類型之記憶體或特定數目之記憶體,或記憶體儲存於其上的媒體之類型。 若在韌體及/或軟體中實施,則可將該等功能作為一或多個指令或程式碼儲存於電腦可讀媒體上。實例包括編碼有資料結構之電腦可讀媒體及編碼有電腦程式之電腦可讀媒體。電腦可讀媒體包括實體電腦儲存媒體。儲存媒體可為可由電腦存取之可用媒體。借助於實例而非限制,此類電腦可讀媒體可包括RAM、ROM、EEPROM、CD-ROM或其他光碟儲存裝置、磁碟儲存裝置或其他磁性儲存裝置,或可用於儲存呈指令或資料結構形式之所要程序碼且可由電腦存取的其他媒體;如本文中所使用,磁碟及光碟包括緊密光碟(CD)、雷射光碟、光學光碟、數位多功能光碟(DVD)及藍光光碟,其中磁碟通常以磁性方式再現資料,而光碟藉由雷射以光學方式再現資料。以上各者之組合亦應包括於電腦可讀媒體之範疇內。 除儲存在電腦可讀媒體上之外,亦可將指令及/或資料提供為在包括於通信設備中之傳輸媒體上的信號。舉例而言,通信設備可包括具有指示指令及資料之信號的收發器。指令及資料經組態以使一或多個處理器實施申請專利範圍所概述之功能。 雖然已詳細描述本發明及其優點,但應理解,在不脫離如由所附申請專利範圍所界定之本發明之技術的情況下,可在本文中進行各種改變、替代及變更。舉例而言,相對於基板或電子裝置使用諸如「上方」及「下方」之關係術語。當然,若基板或電子裝置顛倒,則上方變成下方,且反之亦然。另外,若側向定向,則上方及下方可指代基板或電子裝置之側面。此外,本申請案之範疇並不意欲限於說明書中所描述之程序、機器、製品、物質組成、構件、方法及步驟之特定組態。如熟習此項技術者將易於自本發明而瞭解,可根據本發明利用執行與本文所描述之對應組態大體上相同的功能或達成與該等對應組態大體上相同的結果之當前存在或稍後待開發的程序、機器、製品、物質組成、構件、方法或步驟。因此,所附申請專利範圍意欲在其範疇中包括此類程序、機器、製品、物質組成、構件、方法或步驟。 熟習此項技術者將進一步瞭解,結合本文揭示內容而描述之各種說明性邏輯區塊、模組、電路及演算法步驟可實施為電子硬體、電腦軟體,或兩者之組合。為清晰說明硬體與軟體之此可互換性,上文已大體就其功能性描述了各種說明性組件、區塊、模組、電路及步驟。將此功能性實施為硬體還是軟體取決於特定應用及外加於整個系統上之設計約束。熟習此項技術者可針對每一特定應用而以變化方式來實施所描述之功能性,但此類實施決策不應解釋為導致脫離本發明之範疇。 可藉由通用處理器、數位信號處理器(DSP)、特殊應用積體電路(ASIC)、場可程式化閘陣列(FPGA)或經設計以執行本文所描述之功能的其他可程式化邏輯裝置、離散閘或電晶體邏輯、離散硬體組件,或其任何組合來實施或執行結合本文揭示內容而描述的各種說明性邏輯區塊、模組及電路。通用處理器可為微處理器,但在替代例中,處理器可為任何習知之處理器、控制器、微控制器或狀態機。處理器亦可實施為計算裝置之組合,例如,DSP與微處理器之組合、多個微處理器、結合DSP核心之一或多個微處理器,或任何其他此類組態。 結合本發明而描述之方法或演算法之步驟可直接實施於硬體中、由處理器執行之軟體模組中或此兩者之組合中。軟體模組可以駐留在RAM、快閃記憶體、ROM、EPROM、EEPROM、暫存器、硬碟、可移除式磁碟、CD-ROM或此項技術中已知之任何其他形式的儲存媒體中。例示性儲存媒體耦合至處理器,使得處理器可自儲存媒體讀取資訊且將資訊寫入至儲存媒體。在替代例中,儲存媒體可整合至處理器。處理器及儲存媒體可駐留於ASIC中。ASIC可駐留在使用者終端機中。在替代例中,處理器及儲存媒體可作為離散組件駐留於使用者終端機中。 在一或多個例示性設計中,所描述之功能可在硬體、軟體、韌體或其任何組合中實施。若在軟體中實施,則可將該等功能作為一或多個指令或程式碼而儲存於電腦可讀媒體上或經由電腦可讀媒體傳輸。電腦可讀媒體包括電腦儲存媒體及通信媒體兩者,通信媒體包括促進電腦程式自一處傳送至另一處之任何媒體。儲存媒體可為可由通用或專用電腦存取的任何可用媒體。借助於實例而非限制,此類電腦可讀媒體可包括RAM、ROM、EEPROM、CD-ROM或其他光碟儲存裝置、磁碟儲存裝置或其他磁性儲存裝置,或可用於載運或儲存呈指令或資料結構形式之所要程式碼構件且可由通用或專用電腦或通用或專用處理器存取之任何其他媒體。又,任何連接被適當地稱為電腦可讀媒體。舉例而言,若使用同軸電纜、光纜、雙絞線、數位用戶線(DSL)或諸如紅外線、無線電及微波之無線技術自網站、伺服器或其他遠端源傳輸軟體,則同軸電纜、光纜、雙絞線、DSL或諸如紅外線、無線電及微波之無線技術包括於媒體的定義中。如本文中所使用,磁碟及光碟包括光碟(CD)、雷射光碟、光學光碟、數位多功能光碟(DVD)及藍光光碟,其中磁碟通常以磁性方式再現資料,而光碟藉由雷射以光學方式再現資料。以上各者之組合亦應包括於電腦可讀媒體之範疇內。 提供先前描述以使熟習此項技術者能夠實踐本文所描述之各種態樣。熟習此項技術者將易於明白對此等態樣之各種修改,且本文所定義之一般原理可適用於其它態樣。因此,申請專利範圍不意欲限於本文所展示之態樣,而應符合與申請專利範圍之語言一致的完整範疇,其中以單數形式提及元件不意欲意指「一個且僅一個」(除非特定地如此陳述),而是指「一或多個」。除非另外特定地陳述,否則術語「一些」係指一或多個。提及項目清單「中之至少一者」的片語係指彼等項目之任何組合,包括單一成員。作為實例,「以下各者中之至少一者:a、b或c」意欲涵蓋:a;b;c;a及b;a及c;b及c;以及a、b及c。一般熟習此項技術者已知或稍後將知曉的貫穿本發明所描述之各種態樣的元件之所有結構及功能等效物以引用的方式明確地併入本文中,且意欲由申請專利範圍涵蓋。此外,本文中所揭示之任何內容均不意欲貢獻給公眾,不論申請專利範圍中是否明確敍述此揭示內容。不應依據35 U.S.C. §112第六段的規定解釋任何請求項要素,除非使用片語「用於...的構件」來明確地敍述要素或者(在方法請求項之情況下)使用片語「用於...的步驟」來敍述要素。
100            晶圓 102            定向 104            切割線 106            晶粒 200            基板 202            井 204            井 206            井 208            井 210            層 212            層 214            層 300            互補金屬氧化物半導體(CMOS)變容器 302            基板 304            隔離層 310            金屬-絕緣體-金屬(MIM)電容器 312            第一板極 313            介電層 314            第二板極 315            輸入節點 316            第一摻雜區域 317            輸出節點 318            第二摻雜區域 319            輸出節點 320            寄生二極體 400            對稱變容器結構 402            基板 404            鈍化層 406            隔離層 408            淺槽隔離(STI)區域 410            第一變容器組件 412            第一變容器組件之第一板極 413            介電層 414            第一變容器組件之第二板極 415            閘極接點 416            第一變容器組件之第一摻雜區域/擴散區域 417            第一變容器組件之第一擴散接點 418            第一變容器組件之第二摻雜區域/擴散區域 419            第一變容器組件之第二擴散接點 420            第二變容器組件 422            第二變容器組件之第一板極 423            介電層 424            第二變容器組件之第二板極 425            閘極接點 426            第二變容器組件之第一摻雜區域/擴散區域 427            第二變容器組件之第一擴散接點 428            第二變容器組件之第二摻雜區域/擴散區域 429            第二變容器組件之第二擴散接點 430            背側導電層 440            第一信號埠 442            第二信號埠 450            第一控制埠 452            第二控制埠 500            方法 502            區塊 504            區塊 506            區塊 600            無線通信系統 620            遠端單元 625A         IC裝置 625B         IC裝置 625C         IC裝置 630            遠端單元 640            基地台 650            遠端單元 680            前向連結信號 690            反向連結信號 700            設計工作台 702            硬碟 704            顯示器 706            電路 708            半導體組件 710            儲存媒體 712            驅動設備 MIM          金屬-絕緣體-金屬 G               閘極 B               本體 BOX          內埋氧化物 STI            淺槽隔離 Gox           閘氧化物層
為較完整地理解本發明,現結合隨附圖式參考以下描述。 圖1說明本發明之一態樣中的半導體晶圓之透視圖。 圖2說明根據本發明之一態樣之晶粒的橫截面圖。 圖3說明根據本發明之一態樣之變容器。 圖4說明根據本發明之態樣的對稱變容器結構。 圖5為說明根據本發明之一態樣的用於製造對稱變容器結構之方法的程序流程圖。 圖6為展示可有利地採用本發明之一組態的例示性無線通信系統之方塊圖。 圖7為說明根據一個組態之用於半導體組件的電路、佈局及邏輯設計之設計工作台的方塊圖。
400            對稱變容器結構 402            基板 404            鈍化層 406            隔離層 408            淺槽隔離(STI)區域 410            第一變容器組件 412            第一變容器組件之第一板極 413            介電層 414            第一變容器組件之第二板極 415            閘極接點 416            第一變容器組件之第一摻雜區域/擴散區域 417            第一變容器組件之第一擴散接點 418            第一變容器組件之第二摻雜區域/擴散區域 419            第一變容器組件之第二擴散接點 420            第二變容器組件 422            第二變容器組件之第一板極 423            介電層 424            第二變容器組件之第二板極 425            閘極接點 426            第二變容器組件之第一摻雜區域/擴散區域 427            第二變容器組件之第一擴散接點 428            第二變容器組件之第二摻雜區域/擴散區域 429            第二變容器組件之第二擴散接點 430            背側導電層 440            第一信號埠 442            第二信號埠 450            第一控制埠 452            第二控制埠 G               閘極 B               本體 BOX          內埋氧化物 STI            淺槽隔離 Gox           閘氧化物層

Claims (18)

  1. 一種對稱變容器結構,其包含:一第一變容器組件,其具有作為一面積調變電容器之一第二板極而操作的一閘極、作為該面積調變電容器之一介電層而操作的一閘氧化物層及作為該面積調變電容器之一第一板極而操作的一本體以及圍繞該本體之複數個摻雜區域,該第一變容器組件在一背側上由一隔離層之一前側表面支撐;一第二變容器組件,其在該背側上由該隔離層之該前側表面支撐;及一背側導電層,其電耦合至該第一變容器組件之該背側,且延伸穿過該隔離層及沿該隔離層之一背側表面,且穿過該隔離層之該背側表面至該前側表面以電耦合至該第二變容器組件之該背側。
  2. 如請求項1之對稱變容器結構,其進一步包含:耦合至該閘極之一信號埠;及複數個控制埠,每一控制埠耦合至該複數個摻雜區域中之一者,該信號埠與該複數個控制埠隔離。
  3. 如請求項1之對稱變容器結構,其中該第一板極之一板極面積基於自一控制埠所接收之一偏壓電壓而調變以控制該面積調變電容器。
  4. 如請求項1之對稱變容器結構,其中該隔離層包含一內埋氧化物層。
  5. 如請求項1之對稱變容器結構,其中該第一變容器組件與該第二變容器組件整合於一積體電路中。
  6. 如請求項5之對稱變容器結構,其中該積體電路包含一功率放大器(PA)、一振盪器、一RF(射頻)調諧器、一RF收發器、一多工器及/或一RF電路晶粒。
  7. 如請求項1之對稱變容器結構,其中該第一變容器組件與該第二變容器組件整合於一RF(射頻)開關中。
  8. 如請求項1之對稱變容器結構,其中該第一變容器組件及該第二變容器組件藉由由玻璃、石英或矽構成之一基板支撐。
  9. 如請求項1之對稱變容器結構,其整合至一射頻晶片中,該射頻晶片併入至一音樂播放器、一視訊播放器、一娛樂單元、一導航裝置、一通信裝置、一個人數位助理(PDA)、一固定位置資料單元及一電腦中之至少一者中。
  10. 一種對稱變容器結構,其包含:一第一變容器組件,其具有作為一面積調變電容器之一第二板極而操作的一閘極、作為該面積調變電容器之一介電層而操作的一閘氧化物層及作為該面積調變電容器之一第一板極而操作的一本體以及圍繞該本體之複數個摻雜區域,該第一變容器組件在一背側上由一隔離層之一前側表面支 撐;一第二變容器組件,其在該背側上由該隔離層之一第二部分支撐;且;及用於將該第二變容器組件之該背側電耦合至該第一變容器組件之該背側的構件,其藉由延伸穿過該隔離層及沿該隔離層之一背側表面,且穿過該隔離層之該背側表面至該前側表面以將該第二變容器組件之該背側電耦合至該第一變容器組件之該背側。
  11. 如請求項10之對稱變容器結構,其進一步包含:耦合至該閘極之一信號埠;及複數個控制埠,每一控制埠耦合至該複數個摻雜區域中之一者,該信號埠與該複數個控制埠隔離。
  12. 如請求項10之對稱變容器結構,其中該第一板極之一板極面積基於自一控制埠所接收之一偏壓電壓而調變以控制該面積調變電容器。
  13. 如請求項10之對稱變容器結構,其中該隔離層包含一內埋氧化物層。
  14. 如請求項10之對稱變容器結構,其中該第一變容器組件與該第二變容器組件整合於一積體電路中。
  15. 如請求項14之對稱變容器結構,其中該積體電路包含一功率放大器(PA)、一振盪器、一RF(射頻)調諧器、一RF收發器、一多工器及/或一RF 電路晶粒。
  16. 如請求項10之對稱變容器結構,其中該第一變容器組件與該第二變容器組件整合於一RF(射頻)開關中。
  17. 如請求項10之對稱變容器結構,其中該第一變容器組件及該第二變容器組件藉由由玻璃、石英或矽構成之一基板支撐。
  18. 如請求項10之對稱變容器結構,其整合至一射頻晶片中,該射頻晶片併入至一音樂播放器、一視訊播放器、一娛樂單元、一導航裝置、一通信裝置、一個人數位助理(PDA)、一固定位置資料單元及一電腦中之至少一者中。
TW105127040A 2015-09-14 2016-08-24 背側耦合之對稱變容器結構 TWI583007B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US14/853,931 US9502586B1 (en) 2015-09-14 2015-09-14 Backside coupled symmetric varactor structure

Publications (2)

Publication Number Publication Date
TW201712880A TW201712880A (zh) 2017-04-01
TWI583007B true TWI583007B (zh) 2017-05-11

Family

ID=56694232

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105127040A TWI583007B (zh) 2015-09-14 2016-08-24 背側耦合之對稱變容器結構

Country Status (9)

Country Link
US (2) US9502586B1 (zh)
EP (1) EP3350838B1 (zh)
JP (1) JP6396624B1 (zh)
KR (1) KR101941533B1 (zh)
CN (1) CN108028269B (zh)
BR (1) BR112018004937B1 (zh)
CA (1) CA2994820A1 (zh)
TW (1) TWI583007B (zh)
WO (1) WO2017048384A1 (zh)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9502586B1 (en) 2015-09-14 2016-11-22 Qualcomm Incorporated Backside coupled symmetric varactor structure
US9882066B1 (en) * 2017-02-10 2018-01-30 Qualcomm Incorporated Transcap manufacturing techniques without a silicide-blocking mask
US10707330B2 (en) * 2018-02-15 2020-07-07 Globalfoundries Inc. Semiconductor device with interconnect to source/drain
US10608124B2 (en) 2018-04-19 2020-03-31 Qualcomm Incorporated Back silicided variable capacitor devices
US10636872B1 (en) * 2018-10-31 2020-04-28 Globalfoundries Inc. Apparatus and method to prevent integrated circuit from entering latch-up mode
US11515197B2 (en) * 2019-07-11 2022-11-29 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and method of forming the semiconductor device
US11658220B2 (en) 2020-04-24 2023-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Drain side recess for back-side power rail device
US11581224B2 (en) 2020-05-08 2023-02-14 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming long channel back-side power rail device
US11791342B2 (en) 2021-11-17 2023-10-17 International Business Machines Corporation Varactor integrated with complementary metal-oxide semiconductor devices

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030067026A1 (en) * 2001-07-10 2003-04-10 Constantin Bulucea Gate-enhanced junction varactor
US20100283093A1 (en) * 2009-05-07 2010-11-11 International Business Machines Corporation Structure and Method to Form EDRAM on SOI Substrate
US20130100090A1 (en) * 2011-10-21 2013-04-25 Qualcomm Mems Technologies, Inc. Electromechanical systems variable capacitance device
US8803288B1 (en) * 2011-05-05 2014-08-12 Eta Semiconductor Inc. Analog transcap device

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3877053A (en) 1973-05-07 1975-04-08 Gen Motors Corp Voltage controlled variable area solid state tuning capacitor
JP3167457B2 (ja) * 1992-10-22 2001-05-21 株式会社東芝 半導体装置
TW540154B (en) * 2001-06-04 2003-07-01 Promos Technologies Inc Deep trench capacitor structure and its manufacturing method
JP5000055B2 (ja) * 2001-09-19 2012-08-15 ルネサスエレクトロニクス株式会社 半導体装置
JP2004214408A (ja) * 2002-12-27 2004-07-29 Nec Electronics Corp 電圧制御可変容量素子
US6847095B2 (en) * 2003-04-01 2005-01-25 Texas Instruments Incorporated Variable reactor (varactor) with engineered capacitance-voltage characteristics
KR100593444B1 (ko) * 2004-02-12 2006-06-28 삼성전자주식회사 모오스 바렉터를 갖는 반도체소자 및 그것을 제조하는 방법
US7863718B2 (en) * 2005-02-16 2011-01-04 Hitachi, Ltd. Electronic tag chip
US7276751B2 (en) * 2005-09-09 2007-10-02 International Business Machines Corporation Trench metal-insulator-metal (MIM) capacitors integrated with middle-of-line metal contacts, and method of fabricating same
JP2007336254A (ja) * 2006-06-15 2007-12-27 Oki Electric Ind Co Ltd 電圧制御発振器
US7816231B2 (en) * 2006-08-29 2010-10-19 International Business Machines Corporation Device structures including backside contacts, and methods for forming same
JP5261929B2 (ja) * 2006-12-15 2013-08-14 株式会社デンソー 半導体装置
JP2009064860A (ja) * 2007-09-05 2009-03-26 Renesas Technology Corp 半導体装置
KR101246348B1 (ko) * 2009-03-27 2013-03-25 고려대학교 산학협력단 모스 버랙터 제조방법
JP2010251426A (ja) 2009-04-13 2010-11-04 Sony Corp 可変容量素子及び電子機器
US8604586B2 (en) * 2009-08-06 2013-12-10 Qualcomm Incorporated High breakdown voltage embedded MIM capacitor structure
US9059319B2 (en) * 2010-01-25 2015-06-16 International Business Machines Corporation Embedded dynamic random access memory device and method
US8273616B2 (en) 2010-02-19 2012-09-25 Taiwan Semiconductor Manufacturing Company, Ltd. Gated-varactors
US8450827B2 (en) 2011-01-25 2013-05-28 Taiwan Semiconductor Manufacturing Company, Ltd. MOS varactor structure and methods
JP2012015538A (ja) * 2011-08-29 2012-01-19 Renesas Electronics Corp 半導体装置
CN103545245B (zh) * 2012-07-10 2016-04-20 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法及处理方法
EP2693478B1 (en) 2012-07-31 2019-05-29 Nxp B.V. An integrated circuit based varactor
US9570222B2 (en) * 2013-05-28 2017-02-14 Tdk Corporation Vector inductor having multiple mutually coupled metalization layers providing high quality factor
JP5596819B2 (ja) * 2013-06-11 2014-09-24 ルネサスエレクトロニクス株式会社 半導体集積回路装置
US9502586B1 (en) 2015-09-14 2016-11-22 Qualcomm Incorporated Backside coupled symmetric varactor structure

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030067026A1 (en) * 2001-07-10 2003-04-10 Constantin Bulucea Gate-enhanced junction varactor
US20100283093A1 (en) * 2009-05-07 2010-11-11 International Business Machines Corporation Structure and Method to Form EDRAM on SOI Substrate
US8803288B1 (en) * 2011-05-05 2014-08-12 Eta Semiconductor Inc. Analog transcap device
US20130100090A1 (en) * 2011-10-21 2013-04-25 Qualcomm Mems Technologies, Inc. Electromechanical systems variable capacitance device

Also Published As

Publication number Publication date
US20170077093A1 (en) 2017-03-16
CN108028269A (zh) 2018-05-11
JP2018530915A (ja) 2018-10-18
JP6396624B1 (ja) 2018-09-26
KR101941533B1 (ko) 2019-01-24
CA2994820A1 (en) 2017-03-23
TW201712880A (zh) 2017-04-01
EP3350838C0 (en) 2024-04-03
KR20180041258A (ko) 2018-04-23
US9502586B1 (en) 2016-11-22
CN108028269B (zh) 2019-06-28
EP3350838B1 (en) 2024-04-03
BR112018004937A2 (pt) 2018-10-09
US9721946B2 (en) 2017-08-01
BR112018004937B1 (pt) 2023-10-10
WO2017048384A1 (en) 2017-03-23
EP3350838A1 (en) 2018-07-25

Similar Documents

Publication Publication Date Title
TWI583007B (zh) 背側耦合之對稱變容器結構
CN109643691B (zh) 背面半导体生长
US10134837B1 (en) Porous silicon post processing
US10784348B2 (en) Porous semiconductor handle substrate
US20160181161A1 (en) Sub-fin device isolation
US9917062B1 (en) Self-aligned transistors for dual-side processing
US9966426B2 (en) Augmented capacitor structure for high quality (Q)-factor radio frequency (RF) applications
US10665678B2 (en) Transistor with fluorinated graphene spacer
US10566413B2 (en) MIM capacitor containing negative capacitance material
US10680086B2 (en) Radio frequency silicon-on-insulator integrated heterojunction bipolar transistor
US20200185384A1 (en) Horizontal gate-all-around (gaa) field effect transistor (fet) for complementary metal oxide semiconductor (cmos) integration
US20190027576A1 (en) Composite channel metal-oxide-semiconductor field effect transistor (mosfet)
TW201903871A (zh) 多孔矽切割
US10622491B2 (en) Well doping for metal oxide semiconductor (MOS) varactor
CN110870075A (zh) 具有保护环的mos器件