ATE91353T1 - Gemeinsam benutzter mehrprozessor-pipelinecachespeicher. - Google Patents

Gemeinsam benutzter mehrprozessor-pipelinecachespeicher.

Info

Publication number
ATE91353T1
ATE91353T1 AT85112246T AT85112246T ATE91353T1 AT E91353 T1 ATE91353 T1 AT E91353T1 AT 85112246 T AT85112246 T AT 85112246T AT 85112246 T AT85112246 T AT 85112246T AT E91353 T1 ATE91353 T1 AT E91353T1
Authority
AT
Austria
Prior art keywords
pipeline
cpu
memory unit
cache memory
cache
Prior art date
Application number
AT85112246T
Other languages
English (en)
Inventor
James W Keeley
Thomas F Joyce
Original Assignee
Bull Hn Information Syst
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Bull Hn Information Syst filed Critical Bull Hn Information Syst
Application granted granted Critical
Publication of ATE91353T1 publication Critical patent/ATE91353T1/de

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F12/00Accessing, addressing or allocating within memory systems or architectures
    • G06F12/02Addressing or allocation; Relocation
    • G06F12/08Addressing or allocation; Relocation in hierarchically structured memory systems, e.g. virtual memory systems
    • G06F12/0802Addressing of a memory level in which the access to the desired data or data block requires associative addressing means, e.g. caches
    • G06F12/0806Multiuser, multiprocessor or multiprocessing cache systems
    • G06F12/084Multiuser, multiprocessor or multiprocessing cache systems with a shared cache
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline or look ahead
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F12/00Accessing, addressing or allocating within memory systems or architectures
    • G06F12/02Addressing or allocation; Relocation
    • G06F12/08Addressing or allocation; Relocation in hierarchically structured memory systems, e.g. virtual memory systems

Landscapes

  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Software Systems (AREA)
  • Memory System Of A Hierarchy Structure (AREA)
  • Multi Processors (AREA)
  • Communication Control (AREA)
  • Hardware Redundancy (AREA)
  • Circuits Of Receivers In General (AREA)
  • Bus Control (AREA)
  • Small-Scale Networks (AREA)
AT85112246T 1984-09-27 1985-09-27 Gemeinsam benutzter mehrprozessor-pipelinecachespeicher. ATE91353T1 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US06/655,473 US4695943A (en) 1984-09-27 1984-09-27 Multiprocessor shared pipeline cache memory with split cycle and concurrent utilization
EP85112246A EP0176972B1 (de) 1984-09-27 1985-09-27 Gemeinsam benutzter Mehrprozessor-Pipeline-Cachespeicher

Publications (1)

Publication Number Publication Date
ATE91353T1 true ATE91353T1 (de) 1993-07-15

Family

ID=24629022

Family Applications (1)

Application Number Title Priority Date Filing Date
AT85112246T ATE91353T1 (de) 1984-09-27 1985-09-27 Gemeinsam benutzter mehrprozessor-pipelinecachespeicher.

Country Status (19)

Country Link
US (1) US4695943A (de)
EP (1) EP0176972B1 (de)
JP (1) JPS61165154A (de)
KR (1) KR910002929B1 (de)
AT (1) ATE91353T1 (de)
AU (1) AU572346B2 (de)
BR (1) BR8504743A (de)
CA (1) CA1237198A (de)
DE (1) DE3587439T2 (de)
DK (1) DK168548B1 (de)
ES (1) ES8703652A1 (de)
FI (1) FI91814C (de)
IL (1) IL76502A (de)
MX (1) MX160347A (de)
MY (1) MY101777A (de)
NO (1) NO173960C (de)
PH (1) PH24161A (de)
PT (1) PT81215B (de)
YU (1) YU45743B (de)

Families Citing this family (88)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4709347A (en) * 1984-12-17 1987-11-24 Honeywell Inc. Method and apparatus for synchronizing the timing subsystems of the physical modules of a local area network
US4941088A (en) * 1985-02-05 1990-07-10 Digital Equipment Corporation Split bus multiprocessing system with data transfer between main memory and caches using interleaving of sub-operations on sub-busses
US4875155A (en) * 1985-06-28 1989-10-17 International Business Machines Corporation Peripheral subsystem having read/write cache with record access
US4980845A (en) * 1985-08-23 1990-12-25 Snap-On Tools Corporation Digital engine analyzer
US4768148A (en) * 1986-06-27 1988-08-30 Honeywell Bull Inc. Read in process memory apparatus
US4785395A (en) * 1986-06-27 1988-11-15 Honeywell Bull Inc. Multiprocessor coherent cache system including two level shared cache with separately allocated processor storage locations and inter-level duplicate entry replacement
JP2561261B2 (ja) * 1987-02-18 1996-12-04 株式会社日立製作所 バッファ記憶アクセス方法
US5276853A (en) * 1987-05-18 1994-01-04 Fujitsu Limited Cache system
US4833601A (en) * 1987-05-28 1989-05-23 Bull Hn Information Systems Inc. Cache resiliency in processing a variety of address faults
US4926323A (en) * 1988-03-03 1990-05-15 Advanced Micro Devices, Inc. Streamlined instruction processor
US5148536A (en) * 1988-07-25 1992-09-15 Digital Equipment Corporation Pipeline having an integral cache which processes cache misses and loads data in parallel
US5029070A (en) * 1988-08-25 1991-07-02 Edge Computer Corporation Coherent cache structures and methods
US4928225A (en) * 1988-08-25 1990-05-22 Edgcore Technology, Inc. Coherent cache structures and methods
US5027270A (en) * 1988-10-11 1991-06-25 Mips Computer Systems, Inc. Processor controlled interface with instruction streaming
US5226146A (en) * 1988-10-28 1993-07-06 Hewlett-Packard Company Duplicate tag store purge queue
EP0365731B1 (de) * 1988-10-28 1994-07-27 International Business Machines Corporation Verfahren und Vorrichtung zur Nachrichtenübertragung zwischen Quellen- und Zielanwender durch einen anteilig genutzten Speicher
US5163142A (en) * 1988-10-28 1992-11-10 Hewlett-Packard Company Efficient cache write technique through deferred tag modification
US5081609A (en) * 1989-01-10 1992-01-14 Bull Hn Information Systems Inc. Multiprocessor controller having time shared control store
US5222223A (en) * 1989-02-03 1993-06-22 Digital Equipment Corporation Method and apparatus for ordering and queueing multiple memory requests
JPH0719222B2 (ja) * 1989-03-30 1995-03-06 日本電気株式会社 ストアバッフア
GB2234613B (en) * 1989-08-03 1993-07-07 Sun Microsystems Inc Method and apparatus for switching context of state elements in a microprocessor
US5574912A (en) * 1990-05-04 1996-11-12 Digital Equipment Corporation Lattice scheduler method for reducing the impact of covert-channel countermeasures
US5249284A (en) * 1990-06-04 1993-09-28 Ncr Corporation Method and system for maintaining data coherency between main and cache memories
JP2677706B2 (ja) * 1990-10-19 1997-11-17 富士通株式会社 メモリアクセス制御回路
US5287473A (en) * 1990-12-14 1994-02-15 International Business Machines Corporation Non-blocking serialization for removing data from a shared cache
US5537574A (en) * 1990-12-14 1996-07-16 International Business Machines Corporation Sysplex shared data coherency method
US5249283A (en) * 1990-12-24 1993-09-28 Ncr Corporation Cache coherency method and apparatus for a multiple path interconnection network
US5428810A (en) * 1991-03-15 1995-06-27 Hewlett-Packard Company Allocation of resources of a pipelined processor by clock phase for parallel execution of dependent processes
US5530835A (en) * 1991-09-18 1996-06-25 Ncr Corporation Computer memory data merging technique for computers with write-back caches
US5724549A (en) * 1992-04-06 1998-03-03 Cyrix Corporation Cache coherency without bus master arbitration signals
JPH06318174A (ja) * 1992-04-29 1994-11-15 Sun Microsyst Inc キャッシュ・メモリ・システム及び主メモリに記憶されているデータのサブセットをキャッシュする方法
US5821940A (en) * 1992-08-03 1998-10-13 Ball Corporation Computer graphics vertex index cache system for polygons
US5430857A (en) * 1993-01-04 1995-07-04 Intel Corporation Method and apparatus for translating logical addresses into physical addresses using odd/even translation tables
US5689680A (en) * 1993-07-15 1997-11-18 Unisys Corp. Cache memory system and method for accessing a coincident cache with a bit-sliced architecture
JPH0756815A (ja) * 1993-07-28 1995-03-03 Internatl Business Mach Corp <Ibm> キャッシュ動作方法及びキャッシュ
US5581734A (en) * 1993-08-02 1996-12-03 International Business Machines Corporation Multiprocessor system with shared cache and data input/output circuitry for transferring data amount greater than system bus capacity
JPH07129456A (ja) * 1993-10-28 1995-05-19 Toshiba Corp コンピュータシステム
DE69530720T2 (de) * 1994-03-09 2003-11-27 Sun Microsystems Inc Verzögertes Cachespeicherschreiben eines Speicherungsbefehls
GB2290395B (en) 1994-06-10 1997-05-28 Advanced Risc Mach Ltd Interoperability with multiple instruction sets
US5606688A (en) * 1994-08-31 1997-02-25 International Business Machines Corporation Method and apparatus for dynamic cache memory allocation via single-reference residency times
US5752264A (en) * 1995-03-31 1998-05-12 International Business Machines Corporation Computer architecture incorporating processor clusters and hierarchical cache memories
US5903910A (en) * 1995-11-20 1999-05-11 Advanced Micro Devices, Inc. Method for transferring data between a pair of caches configured to be accessed from different stages of an instruction processing pipeline
US5838631A (en) 1996-04-19 1998-11-17 Integrated Device Technology, Inc. Fully synchronous pipelined ram
US5819060A (en) * 1996-10-08 1998-10-06 Lsi Logic Corporation Instruction swapping in dual pipeline microprocessor
US5860158A (en) * 1996-11-15 1999-01-12 Samsung Electronics Company, Ltd. Cache control unit with a cache request transaction-oriented protocol
US6061755A (en) * 1997-04-14 2000-05-09 International Business Machines Corporation Method of layering cache and architectural specific functions to promote operation symmetry
US6032226A (en) * 1997-04-14 2000-02-29 International Business Machines Corporation Method and apparatus for layering cache and architectural specific functions to expedite multiple design
US6061762A (en) * 1997-04-14 2000-05-09 International Business Machines Corporation Apparatus and method for separately layering cache and architectural specific functions in different operational controllers
US5909694A (en) * 1997-06-12 1999-06-01 International Business Machines Corporation Multiway associative external microprocessor cache
US7581077B2 (en) 1997-10-30 2009-08-25 Commvault Systems, Inc. Method and system for transferring data in a storage operation
US6418478B1 (en) * 1997-10-30 2002-07-09 Commvault Systems, Inc. Pipelined high speed data transfer mechanism
US6532468B2 (en) * 1997-12-25 2003-03-11 Kawasaki Microelectronics, Inc. Binary data search method for selecting from among candidate data, and apparatus therefor
US6115320A (en) 1998-02-23 2000-09-05 Integrated Device Technology, Inc. Separate byte control on fully synchronous pipelined SRAM
US7013305B2 (en) 2001-10-01 2006-03-14 International Business Machines Corporation Managing the state of coupling facility structures, detecting by one or more systems coupled to the coupling facility, the suspended state of the duplexed command, detecting being independent of message exchange
US20020108022A1 (en) * 1999-04-28 2002-08-08 Hong-Yi Hubert Chen System and method for allowing back to back write operations in a processing system utilizing a single port cache
US6542991B1 (en) * 1999-05-11 2003-04-01 Sun Microsystems, Inc. Multiple-thread processor with single-thread interface shared among threads
US7069406B2 (en) 1999-07-02 2006-06-27 Integrated Device Technology, Inc. Double data rate synchronous SRAM with 100% bus utilization
US6618048B1 (en) 1999-10-28 2003-09-09 Nintendo Co., Ltd. 3D graphics rendering system for performing Z value clamping in near-Z range to maximize scene resolution of visually important Z components
US7119813B1 (en) 2000-06-02 2006-10-10 Nintendo Co., Ltd. Variable bit field encoding
US6622217B2 (en) * 2000-06-10 2003-09-16 Hewlett-Packard Development Company, L.P. Cache coherence protocol engine system and method for processing memory transaction in distinct address subsets during interleaved time periods in a multiprocessor system
US7002591B1 (en) 2000-08-23 2006-02-21 Nintendo Co., Ltd. Method and apparatus for interleaved processing of direct and indirect texture coordinates in a graphics system
US6700586B1 (en) 2000-08-23 2004-03-02 Nintendo Co., Ltd. Low cost graphics with stitching processing hardware support for skeletal animation
US6707458B1 (en) 2000-08-23 2004-03-16 Nintendo Co., Ltd. Method and apparatus for texture tiling in a graphics system
US6636214B1 (en) 2000-08-23 2003-10-21 Nintendo Co., Ltd. Method and apparatus for dynamically reconfiguring the order of hidden surface processing based on rendering mode
US6867781B1 (en) 2000-08-23 2005-03-15 Nintendo Co., Ltd. Graphics pipeline token synchronization
US6811489B1 (en) 2000-08-23 2004-11-02 Nintendo Co., Ltd. Controller interface for a graphics system
US7576748B2 (en) 2000-11-28 2009-08-18 Nintendo Co. Ltd. Graphics system with embedded frame butter having reconfigurable pixel formats
US6980218B1 (en) 2000-08-23 2005-12-27 Nintendo Co., Ltd. Method and apparatus for efficient generation of texture coordinate displacements for implementing emboss-style bump mapping in a graphics rendering system
US7034828B1 (en) 2000-08-23 2006-04-25 Nintendo Co., Ltd. Recirculating shade tree blender for a graphics system
US7538772B1 (en) 2000-08-23 2009-05-26 Nintendo Co., Ltd. Graphics processing system with enhanced memory controller
US7184059B1 (en) 2000-08-23 2007-02-27 Nintendo Co., Ltd. Graphics system with copy out conversions between embedded frame buffer and main memory
US6937245B1 (en) 2000-08-23 2005-08-30 Nintendo Co., Ltd. Graphics system with embedded frame buffer having reconfigurable pixel formats
US6825851B1 (en) 2000-08-23 2004-11-30 Nintendo Co., Ltd. Method and apparatus for environment-mapped bump-mapping in a graphics system
US7061502B1 (en) 2000-08-23 2006-06-13 Nintendo Co., Ltd. Method and apparatus for providing logical combination of N alpha operations within a graphics system
US20060111072A1 (en) * 2002-05-31 2006-05-25 Silicon Laboratories Inc. Wireless communication system and method using clock swapping during image rejection calibration
GB2409553B (en) 2002-09-16 2007-04-04 Commvault Systems Inc System and method for optimizing storage operations
US7583946B2 (en) * 2003-09-29 2009-09-01 Silicon Laboratories, Inc. Wireless communication system and method using clock swapping during image rejection calibration
WO2005065084A2 (en) 2003-11-13 2005-07-21 Commvault Systems, Inc. System and method for providing encryption in pipelined storage operations in a storage network
JP5011885B2 (ja) * 2006-08-18 2012-08-29 富士通株式会社 スヌープタグの制御装置
JP4912789B2 (ja) * 2006-08-18 2012-04-11 富士通株式会社 マルチプロセッサシステム,システムボードおよびキャッシュリプレース要求処理方法
JP4680851B2 (ja) * 2006-08-18 2011-05-11 富士通株式会社 システムコントローラ,同一アドレスリクエストキューイング防止方法および情報処理装置
US8195890B1 (en) * 2006-08-22 2012-06-05 Sawyer Law Group, P.C. Method for maintaining cache coherence using a distributed directory with event driven updates
US8332590B1 (en) * 2008-06-25 2012-12-11 Marvell Israel (M.I.S.L.) Ltd. Multi-stage command processing pipeline and method for shared cache access
US8407420B2 (en) * 2010-06-23 2013-03-26 International Business Machines Corporation System, apparatus and method utilizing early access to shared cache pipeline for latency reduction
US9898213B2 (en) 2015-01-23 2018-02-20 Commvault Systems, Inc. Scalable auxiliary copy processing using media agent resources
US9904481B2 (en) 2015-01-23 2018-02-27 Commvault Systems, Inc. Scalable auxiliary copy processing in a storage management system using media agent resources
KR20170012629A (ko) * 2015-07-21 2017-02-03 에스케이하이닉스 주식회사 메모리 시스템 및 메모리 시스템의 동작 방법
US11010261B2 (en) 2017-03-31 2021-05-18 Commvault Systems, Inc. Dynamically allocating streams during restoration of data

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4128880A (en) * 1976-06-30 1978-12-05 Cray Research, Inc. Computer vector register processing
US4157587A (en) * 1977-12-22 1979-06-05 Honeywell Information Systems Inc. High speed buffer memory system with word prefetch
US4195342A (en) * 1977-12-22 1980-03-25 Honeywell Information Systems Inc. Multi-configurable cache store system
US4169284A (en) * 1978-03-07 1979-09-25 International Business Machines Corporation Cache control for concurrent access
US4345309A (en) * 1980-01-28 1982-08-17 Digital Equipment Corporation Relating to cached multiprocessor system with pipeline timing
US4349871A (en) * 1980-01-28 1982-09-14 Digital Equipment Corporation Duplicate tag store for cached multiprocessor system
US4493033A (en) * 1980-04-25 1985-01-08 Data General Corporation Dual port cache with interleaved read accesses during alternate half-cycles and simultaneous writing
US4378591A (en) * 1980-12-31 1983-03-29 Honeywell Information Systems Inc. Memory management unit for developing multiple physical addresses in parallel for use in a cache memory
US4439829A (en) * 1981-01-07 1984-03-27 Wang Laboratories, Inc. Data processing machine with improved cache memory management
US4525777A (en) * 1981-08-03 1985-06-25 Honeywell Information Systems Inc. Split-cycle cache system with SCU controlled cache clearing during cache store access period
JPS5848146A (ja) * 1981-09-18 1983-03-22 Toshiba Corp 命令先取り方式
US4494190A (en) * 1982-05-12 1985-01-15 Honeywell Information Systems Inc. FIFO buffer to cache memory

Also Published As

Publication number Publication date
AU4769685A (en) 1986-04-10
PT81215B (pt) 1987-08-19
PH24161A (en) 1990-03-22
DE3587439T2 (de) 1994-01-13
ES547324A0 (es) 1987-02-16
DK440585A (da) 1986-03-28
EP0176972A3 (en) 1988-06-08
FI853730L (fi) 1986-03-28
KR910002929B1 (ko) 1991-05-10
JPS61165154A (ja) 1986-07-25
IL76502A0 (en) 1986-01-31
EP0176972A2 (de) 1986-04-09
KR860002758A (ko) 1986-04-28
YU154985A (en) 1988-04-30
NO853797L (no) 1986-04-01
MX160347A (es) 1990-02-12
NO173960C (no) 1994-02-23
DK440585D0 (da) 1985-09-27
ES8703652A1 (es) 1987-02-16
NO173960B (no) 1993-11-15
IL76502A (en) 1989-06-30
DK168548B1 (da) 1994-04-18
FI853730A0 (fi) 1985-09-27
BR8504743A (pt) 1986-07-22
FI91814B (fi) 1994-04-29
DE3587439D1 (de) 1993-08-12
MY101777A (en) 1992-01-17
US4695943A (en) 1987-09-22
FI91814C (fi) 1994-08-10
PT81215A (en) 1985-10-01
YU45743B (sh) 1992-07-20
CA1237198A (en) 1988-05-24
AU572346B2 (en) 1988-05-05
JPH0341857B2 (de) 1991-06-25
EP0176972B1 (de) 1993-07-07

Similar Documents

Publication Publication Date Title
DE3587439D1 (de) Gemeinsam benutzter mehrprozessor-pipeline-cachespeicher.
ATE135833T1 (de) Speicherkonfiguration zur verwendung für schnittstellenbildung zwischen einer systemsteuereinheit für ein multiprozessorsystem und dem hauptspeicher
NL184297B (nl) Uit verscheidene verwerkingseenheden opgebouwd multiprocessor-gegevensverwerkingsstelsel.
FR2474201B1 (fr) Procede et dispositif pour gerer les conflits poses par des acces multiples a un meme cache d&#39;un systeme de traitement numerique de l&#39;information comprenant au moins deux processus possedant chacun un cache
JPS6436336A (en) Calculator system
FR2413718A1 (fr) Procede de realisation de la coincidence de memoires tampon dans un systeme informatique multiprocesseur
SE8405456D0 (sv) Mycket snabbt minnes- och minnesforvaltningssystem
GB1472921A (en) Digital computing systems
GB1487681A (en) Electronic data processing systems
DE3850097T2 (de) Rechnerverbinder für gruppen von datenverarbeitungseinrichtungen.
FR2456971B1 (fr) Systeme de traitement de donnees
JPH067377B2 (ja) 仮想アドレス式情報処理システムにおけるメモリの使用方法及びこの方法を実施するための装置
DE3886756D1 (de) Betriebsmittelzugriff für Multiprozessorrechnersystem.
BR9915383A (pt) Processo de tratamento de sinais de tarefa em um sistema de processamento, sistema de processamento possuindo múltiplas unidades de processamento para o processamento de sinais de tarefa, e, fila de sinal de tarefa em um sistema de processamento
DE3852261T2 (de) Prioritätszugriffssteuerungssystem zum Hauptspeicher für Rechner.
JP2019101450A (ja) 電子機器およびファイル管理方法
JPS5720984A (en) Multiprocessor system
JPS54133843A (en) Memory control system
ES8104620A1 (es) Perfeccionamientos en dispositivos memorizadores
JPS60169958A (ja) キヤツシユメモリの管理装置
JPS641046A (en) Memory access control system
JPS6435648A (en) Combined series system
JPS6162951A (ja) 仮想記憶制御方式
JPS61273656A (ja) デ−タ転送制御方式
JPS57201940A (en) Assignment system for memory digit

Legal Events

Date Code Title Description
UEP Publication of translation of european patent specification
EEIH Change in the person of patent owner
REN Ceased due to non-payment of the annual fee