JPH10283770A - 半導体メモリ装置およびその読み出しおよび書き込み方法 - Google Patents

半導体メモリ装置およびその読み出しおよび書き込み方法

Info

Publication number
JPH10283770A
JPH10283770A JP9088241A JP8824197A JPH10283770A JP H10283770 A JPH10283770 A JP H10283770A JP 9088241 A JP9088241 A JP 9088241A JP 8824197 A JP8824197 A JP 8824197A JP H10283770 A JPH10283770 A JP H10283770A
Authority
JP
Japan
Prior art keywords
address
memory
data
bank
arbitrary
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP9088241A
Other languages
English (en)
Inventor
敦 ▲高▼杉
Atsushi Takasugi
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Oki Electric Industry Co Ltd
Original Assignee
Oki Electric Industry Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Oki Electric Industry Co Ltd filed Critical Oki Electric Industry Co Ltd
Priority to JP9088241A priority Critical patent/JPH10283770A/ja
Priority to US09/055,186 priority patent/US6301649B1/en
Publication of JPH10283770A publication Critical patent/JPH10283770A/ja
Priority to US09/933,672 priority patent/US6640295B2/en
Priority to US10/668,300 priority patent/US6920536B2/en
Priority to US11/143,860 priority patent/US7219200B2/en
Pending legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C8/00Arrangements for selecting an address in a digital store
    • G11C8/08Word line control circuits, e.g. drivers, boosters, pull-up circuits, pull-down circuits, precharging circuits, for word lines
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F12/00Accessing, addressing or allocating within memory systems or architectures
    • G06F12/02Addressing or allocation; Relocation
    • G06F12/0207Addressing or allocation; Relocation with multidimensional access, e.g. row/column, matrix
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C8/00Arrangements for selecting an address in a digital store
    • G11C8/12Group selection circuits, e.g. for memory block selection, chip selection, array selection
    • GPHYSICS
    • G09EDUCATION; CRYPTOGRAPHY; DISPLAY; ADVERTISING; SEALS
    • G09GARRANGEMENTS OR CIRCUITS FOR CONTROL OF INDICATING DEVICES USING STATIC MEANS TO PRESENT VARIABLE INFORMATION
    • G09G5/00Control arrangements or circuits for visual indicators common to cathode-ray tube indicators and other visual indicators
    • G09G5/36Control arrangements or circuits for visual indicators common to cathode-ray tube indicators and other visual indicators characterised by the display of a graphic pattern, e.g. using an all-points-addressable [APA] memory
    • G09G5/39Control of the bit-mapped memory

Abstract

(57)【要約】 【目的】 ロウ方向の高速シリアルアクセス可能なメモ
リにおいて、他の付属部品を用いることなく、メモリだ
けでコラム方向の高速シリアルアクセスをも可能とす
る。 【構成】 複数のメモリセルアレイQijと、Xデコ−
ダ手段Aと、Yデコ−ダ手段Bとからなるバンク(バン
ク−0、バンク−1)を複数有する。このバンクには、
メモリ単位小集合B(i,j)のjが奇数の時の集合L
a(i)がバンク−0の奇数列グル−プに順次配置さ
れ、列方向に満たされると、バンク−1の偶数列グル−
プに配置される。Xデコ−ダ手段Aと、Yデコ−ダ手段
Bとにはアドレス発生手段Dからアドレスが供給され
る。また、メモリ単位小集合B(i,j)のjが偶数の
時の集合Lb(i)はバンク−0の偶数列グル−プに順
次配置され、列方向に満たされると、バンク−1の奇数
列グル−プに配置される。

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】この発明は、半導体メモリ装置お
よびその読み出しおよび書き込み方法に関するもので、
特に読み出しおよび書き込み方法を工夫したメモリ装置
に関するものであるに関するものである。
【0002】
【従来の技術】一般的なテレビあるいはパネル、PCの
CRTの任意の一画面は、ブラウン管の蛍光面上を横方
向に走査される複数のラインから構成される。従来のシ
ステムの場合、一ラインは約900から1000ドット
の画像情報単位(以降画素と呼ぶ)からなり、一画面を
構成するライン数は約500から600本が一般的であ
る。これら、画像情報を扱うシステム(テレビ、CTR
等)においてメモリを使用する場合、ライン情報を高速
にアクセスする必要がある。一方、ライン情報は、イン
クリメンタルするシリアルアドレスで扱いやすい。その
ため、一般的な汎用DRAM(ダイナミックランダムア
クセスメモリ)でライン情報を扱う場合、任意のワード
ラインで選択される一連のメモリ情報を高速にアクセス
するページモードが使用されることが多い。
【0003】汎用DRAM以外に使用されるメモリとし
てフィールドメモリ、或いはフレームメモリと呼ばれる
専用メモリがある。これらのメモリはDRAMのビット
ライン対に1ページ分のデータレジスタを接続し、DR
AMのワードラインで選択される一連の複数のメモリ情
報をデータレジスタに転送(或いは書き込みが終了した
データレジスタ情報をワードラインで選択される一連の
複数のメモリに転送)し、高速シリアルアクセスを可能
にする。従って、フィールドメモリ、フレームメモリで
も、前期DRAMの場合と同様、同一ワードラインに接
続する情報(つまり、1ロウ分のページ情報)をページ
モードでシリアルにアクセスする。即ち、テレビ、CR
Tを用いた画像処理システムにおいてライン情報のアク
セスに使う場合、従来、メモリのロウ方向のページモー
ド(最近のDRAMではより高速なページモードである
Enhanced Data Out:EDO)が使用されてきた。
【0004】
【発明が解決しようとする課題】従来のページモードと
は直角方向のアクセス(即ちコラム方向のシリアルアク
セス)を必要とするスキャンコンバータ、ノイズフィル
タ、行列計算等の用途がある。しかし、従来のメモリ
は、構造上、ロウ方向のシリアルアクセスは高速にでき
るもののコラム方向のシリアルアクセスは高速にできな
い。たとえば、汎用DRAMでは、現在もっとも高速な
シリアルアクセスモード(ページアクセスモード)であ
るEDOモード時、アクセスクロック周波数は15−2
0nsである。しかしながら、コラム方向にシリアルア
クセスしようとするとワードラインの立ち下げから立ち
上げまでの時間が必要となるため、120−150ns
のサイクルとなってしまう。
【0005】最近普及し始めている同期式DRAM(或
いはSDRAM)は、独立してアクセスが可能なバンク
と呼ばれるメモリ単位を複数有している構成が多く、2
バンク構成が一般的である。この2バンクを利用して、
ロウ方向のシリアルビットごとの異なるワードライン
(つまり異なるロウ)のデ−タを取り出すことは可能で
ある。しかし、2バンク構成のメモリでも異なるワード
ライン情報を1ビットごとにシリアルアクセスすること
はできない。テレビ専用メモリであるフィールドメモリ
でも、基本的にはロウ方向のページアクセスを連続する
ことを基本動作としているため、コラム方向の高速シリ
アルアクセスを実現することはできない。従って、実際
のシステムではコラム方向のシリアルアクセスを実現す
るため、メモリに複数のラインメモリを接続している。
すなわち、ラインメモリという付属部品が必要となり、
システムのコストアップにつながるという問題点があっ
た。本発明の目的は、ロウ方向の高速シリアルアクセス
可能なメモリにおいて、他の付属部品を用いることな
く、メモリだけでコラム方向の高速シリアルアクセスを
も可能とするメモリ装置およびその書き込みおよび読み
出し方法を提供することにある。
【0006】
【課題を解決するための手段】本発明では、X方向およ
びY方向に配置された複数のメモリセルと、このメモリ
セルのY方向のアドレスを選択するYデコ−ダ手段と
、メモリセルのX方向のアドレスを選択するXデコ−
ダ手段とを有するメモリバンクがそれぞれ独立に動作で
きるn個(nは自然数)のメモリバンクを有するメモリ
を前提としている。
【0007】そして、X方向に整列した複数のメモリセ
ルに、a個(aは自然数)の連続するXアドレスで指定
され、同一Yアドレスを有するデータを前記複数のバン
クのうちの一つの1+kn(k=0、1、2....)
番目のXアドレスで指定される前記複数のメモリセルに
順次に書き込みまたは読み出し、この指定された複数の
メモリセルにデ−タが全て書き込みまたは読み出された
ら、前記複数のバンクの他の一つの2+kn(k=0、
1、2....)番目のXアドレスで指定される前記複
数のメモリセルに順次に書き込みまたは読み出す。
【0008】
【実施例】実施例の説明を行う前に、本発明の背景的な
部分について説明する。テレビ画面やPC画面の動画で
も静止画でも基本的には静止画面の連続からできてい
る。その1画面はフレームと呼ばれ、画像ビット情報の
連続が、水平方向を捜査するラインであり、そのライン
の集合により1フレームが構成されている。メモリとテ
レビ画面(あるいはPC画面)の物理アドレスが1対1
に対応していると、システム設計が容易となる。ここで
はメモリとテレビ画面(あるいはPC画面)の物理アド
レスが1対1に対応しているとして考える(アドレスと
メモリ単位との対応はテレビ画面あるいはPC画面の画
像ドットとアドレスと対応させて考える)。
【0009】図2は、X=0〜N−1のXアドレスとY
=0〜M−1のYアドレスで一義的に選択されるメモリ
単位の集合からなるメモリを示す図である。以降の説明
は、図2のメモリを例にして考えるものとする。なお、
図2でアドレス(Xi,Yj)で選択されるメモリ単位
に記憶される情報をD(Xi,Yj)とする。一般的に
ライン走査がメモリのページモードアクセスに対応し易
いため、ライン方向はメモリのX方向(ロウ方向)に対
応し、ラインビットアドレスはYアドレスで設定されて
いる。すなわち、ラインアドレスはXアドレスに対応
し、ライン中の各ビットのアドレスはYアドレスに相当
する(すなわち物理イメージではメモリと画像のX,Y
は反対であることに注意を要する)。通常の汎用メモリ
ではメモリの1ロウ分のビット数(1ページ分のビット
数)以上の連続シリアルデータアクセスは不可能であ
る。SDRAMやフィールドメモリ(或いはフレームメ
モリ)と呼ばれる複数バンクのメモリアレイを有するメ
モリではこれが可能となる。2バンク以上あれは1ペー
ジ分のデータアクセス中に次のページのアクセスの準備
がバックグラウンドでできるからである。しかし、コラ
ム方向の高速シリアルアクセスは先に説明したように不
可能である。本発明では、複数バンク構成のメモリな
ら、バンクインターリーブを利用し、異なるロウ(ワー
ドライン)上の連続アドレスビットの高速シリアルアク
セスが可能であることを応用し、書き込みアドレスを操
作することにより、コラム方向の連続高速シリアルアク
セスを可能とする。
【0010】本発明では、従来のXアドレスとYアドレ
スで指定される(2次元の平面上の)画像データ等を複
数バンクを有する実際のメモリ素子上にアドレスを並び
替えることで、従来のロウ方向の高速シリアルページア
クセスを可能にするのみならず、従来不可能だったコラ
ム方向の高速シリアルページアクセスを可能にする。こ
こでは、2バンクを有するSDRAMで実現する方法を
図2を参照しつつ説明する。先に説明したように、テレ
ビ画面やPCのCRT画面においては、Xアドレスはラ
インアドレスに対応し、Yアドレスはラインビットアド
レスに対応する。図2は、画面を90度か移転したもの
であると考えればよい。図2のメモリ単位とアドレスの
関係をそのままにしておき、図3に示すように、Yアド
レスは一定で、X方向にメモリ単位を隣り合うk個(k
は任意の整数、以下省略)づつまとめ、まとめた小集合
をB(i,j)(i,jは任意の整数、以下省略)とす
る。従って、X方向は(N−1)/k個に分けられる。
図3において、iを一定にした時、jが奇数のときの
B(i,j)の集合をLa(i)とし、jが偶数の時の
集合をLb(i)とする。図3では、B(i,j)のj
が偶数である場合はB(i,j)の集合を黒塗りし、奇
数である場合は枠で囲ったままにしてある。従って、L
a(i)はiが一定の黒塗りのB(i,j)の集合であ
り、Lb(i)はiが一定の枠で囲ったB(i,j)の
集合である。例えば、La(0)とLb(0)の合計
は、Y=0のアドレスを有するメモリ単位の集合であ
る。
【0011】図1は2バンクのメモリアレイを有するメ
モリのメモリプレーンを示す。図3で示したメモリ単位
の小集号B(i,j)を図1のようにバンク−0とバン
ク−1に再配置する。 B(i,j)を再配置した後の
La(i),Lb(i)は図1に示されるように並ぶ。
B(i,j)は本来X方向の連続するkビットの情報だ
ったが、これをY方向に配置することが大きな特徴であ
る。図1ではLa(i),Lb(i)はY方向に q個
並ぶものとする。即ち、バンク−0、バンク−1のY方
向の最大アドレスはM−1であるのでY方向にkビット
分あるB(i,j)はページ方向にq=(M−1)/k
個並ぶ。また、図1に示すようにLa(0)からLa
(q−1)まではバンク−0のY方向の1列目に並び、
La(q)からLa(2q−1)はバンク−1の2列目
に並ぶ(ここで、La(0)からLa(q−1)と同じ
バンク−0に並ばないのがポイントである)。同様に、
La(2q)からLa(3q−1)はバンク−0の3列
目に並ぶ。一方、 Lb(0)からLb(q−1)はバ
ンク−1の1列目に並び、Lb(2q−1)はバンク−
0の2列目に並ぶ。同様にLb(2q)からLb(3q
−1)はバンク−1の3列目に並ぶ。このような規則性
をもって、La(i),Lb(i)を図1の様にメモリ
情報とそのアドレスを並び替える(すなわち、図2に示
すD(i,j)を図1にしたがって2バンク構成のメモ
リに書き込む)ことにより、ロウ方向の高速シリアルア
クセスを可能としたままコラム方向の高速シリアルアク
セスを可能とするのである。
【0012】次に、本発明の第1の実施例の方法による
メモリのライト方法とリード方法について説明する。な
お、説明の都合上、図2に示すアドレスとメモリの位置
関係を図1に示したように、2バンク構成のメモリに本
発明の方法により並び替えた場合のアクセス方法を説明
する。 1)ロウ方向の高速シリアルアクセスの説明 1−a)ライト方法の説明 図2において示したX,Yアドレスとメモリ情報に対し
て、1−a−1)図2で示したメモリのアドレスマップ
のX=0のラインをY=0からシリアルにアクセスする
場合、 1−a−2)図2で示したメモリのアドレスマ
ップのX=k−1のラインをY=0からシリアルにアク
セスする場合、および、1−a−3)図2で示したメモ
リのアドレスマップのX=kのラインをY=0からシリ
アルにアクセスする場合の3例に分けて説明する。
【0013】1−a−1)図2で示したメモリのアドレ
スマップのX=0のラインのアクセスの説明 図4に示すように、La(0)のB(0,0)中の先頭
ビットにD(0,0)が最初に書き込まれる。第2番目
にLa(1)のB(1,0)中の先頭ビットにD(0,
1)が書き込まれる。第3番目にLa(2)のB(2,
0)中の先頭ビットにD(0,2)が書き込まれる。同
様な動作を繰り返し、La(q−1)のB(q−1,
0)中の先頭ビットにD(0,q−1)が第q番目に書
き込まれる。 以上で図1で示したメモリのアドレスマ
ップのX=0のライン上のシリアルビットがqビットが
書き込まれる。以上のバンク−0での書き込み動作の間
に、バンク−1の書き込み用意が整っている。次に、第
q+1番目にバンク−1のLa(q)のB(q,0)の
先頭ビットにD(0,q)が書き込まれる。第q+2番
目の書き込みはLa(q+1)のB(q+1,0)の先
頭ビットにD(0,q+1)が書き込まれる。 同様な
動作を繰り返し、La(2q−1)のB(2q−1,
0)中の先頭ビットにD(0,2q−1)が第2q番目
に書き込まれる。 以上で、さらに図1で示したメモリ
のアドレスマップのX=0のライン上のシリアルビット
がqビットが書き込まれる。以上のバンク−1での書き
込み動作の間に、バンク−0への書き込み用意が整って
いる。さらに、上記バンク−0およびバンク−1での書
き込み動作で説明した動作が、図4の矢印で示されるよ
うにLa(M−1)まで連続する。これにより、図2で
示したメモリのアドレスマップのX=0のライン上のシ
リアルビットの高速書き込み(ロウ方向のページアクセ
ス)が可能となる。なお、図2で示したメモリのアドレ
スマップのX=1のラインのシリアルビット書き込みは
上記の動作と同様に行われるが、B(r、1)(rは任
意の整数)のそれぞれの2番目のビットに書き込む点が
異なる。以下、k番目のビットまで同様であるが、最終
ビットであるX=k−1の場合を参考のため説明する。
【0014】1−a−2)図2で示したメモリのアドレ
スマップのX=k−1のラインのアクセスの説明 図5に示すようにLa(0)のB(0,0)中のk番目
のビットにD(k−1,0)が最初に書き込まれる。第
2番目にLa(1)のB(1,0)中のk番目のビット
にD(k−1,1)が書き込まれる。第3番目にLa
(2)のB(2,0)中のk番目のビットにD(k−
1,2)が書き込まれる。同様な動作を繰り返し、La
(q−1)のB(q−1,0)中のk番目のビットにD
(k−1,q−1)が第q番目に書き込まれる。 以上
で図1で示したメモリのアドレスマップのX=k−1の
ライン上のシリアルビットがqビットが書き込まれる。
以上のバンク−0での書き込み動作の間に、バンク−1
の書き込み用意が整っている。次に、第q+1番目にバ
ンク−1のLa(q)のB(q,0)のk番目のビット
にD(k−1,q)が書き込まれる。第q+2番目の書
き込みはLa(q+1)のB(q+1,0)のk番目の
ビットにD(k−1,q+1)が書き込まれる。 同様
な動作を繰り返し、La(2q−1)のB(2q−1,
0)中のk番目のビットにD(k−1,2q−1)が第
2q番目に書き込まれる。 以上で、さらに図5で示し
たメモリのアドレスマップのX=k−1のライン上のシ
リアルビットがqビットが書き込まれる。以上のバンク
−1での書き込み動作の間に、バンク−0の書き込み用
意が整っている。上記バンク−0およびバンク−1で説
明した動作が、図5の矢印で示されるようにLa(M−
1)まで連続することで図2で示したメモリのアドレス
マップのX=k−1のライン上のシリアルビットの高速
書き込み(ロウ方向のページアクセス)が可能となる。
【0015】1−a−3)図2で示したメモリのアドレ
スマップのX=kのラインのアクセスの説明 図6に示すようにバンク−1中のLb(0)のB(0,
1)中の先頭ビットにD(k,0)が最初に書き込まれ
る。第2番目にLb(1)のB(1,1)中の先頭ビッ
トにD(k,1)が書き込まれる。第3番目にLb
(2)のB(2,1)中の先頭ビットにD(k,2)が
書き込まれる。同様な動作を繰り返し、Lb(q−1)
のB(q−1,1)中の先頭ビットにD(k,q−1)
が第q番目に書き込まれる。 以上で図5で示したメモ
リのアドレスマップのX=0のライン上のシリアルビッ
トがqビットが書き込まれる。以上のバンク−0での書
き込み動作の間に、バンク−1の書き込み用意が整って
いる。次に、第q+1番目にバンク−0のLb(q)の
B(q,1)の先頭ビットにD(k,q)が書き込まれ
る。第q+2番目の書き込みはLb(q+1)のB(q
+1,1)の先頭ビットにD(k,q+1)が書き込ま
れる。 同様な動作を繰り返し、Lb(2q−1)のB
(2q−1,1)中の先頭ビットにD(k,2q−1)
が第2q番目に書き込まれる。 以上で、さらに図2で
示したメモリのアドレスマップのX=0のライン上のシ
リアルビットがqビットが書き込まれる。以上のバンク
−1での書き込み動作の間に、バンク−0の書き込み用
意が整っている。上記バンク−0およびバンク−1で説
明した動作が、図6の矢印で示されるようにLb(M−
1)まで連続することで図5で示したメモリのアドレス
マップのX=0のライン上のシリアルビットの高速書き
込み(ロウ方向のページアクセス)が可能となる。図6
における図2で示したメモリのアドレスマップのX=k
+1のラインのシリアルビット書き込みは上記の動作と
同様に行われるが、B(r、1)(rは任意の整数)の
2番目のビットに書き込まれるところが異なる。以下、
2k番目のビットまで同様である。
【0016】1−b)リード方法の説明 先にライト動作で説明したのと同様の順番でリードする
ことで、ライトとまったく同様にリードすることができ
る。特に、片方のバンクのアクセス中にバンクインター
リーブと呼ばれるもう片方のリードの準備ができるので
連続した高速シリアルアクセスが可能である。以上、詳
細にロウ方向の高速シリアルアクセスのリード、ライト
を本発明においていかに行うかを説明した。説明の都合
上、図1で示したメモリのアドレスマップのアドレスY
=0からシリアルアクセスを開始したが、ある任意のア
ドレスからアクセスが始めることも可能であることはい
うまでもない。
【0017】2)コラム方向の高速シリアルアクセスの
説明 本発明によるコラム方向の高速シリアルアクセスをいか
に行うか説明する。一例として図7に図2で示したメモ
リのアドレスマップのY=qのコラム方向のシリアルア
クセスの順番を矢印を追って示す。任意のアドレスから
シリアルアクセスが開始することも可能であるが、ここ
では簡単化のため図2におけるX=0からシリアルにア
クセスが行われる場合を考える。アクセスはライトでも
リードでも同様である。以下、リードについて説明す
る。まず、先頭アクセスビットは、本発明によれば、図
2におけるY=q、X=0のデータD(0,q)であ
り、バンク−1のLa(q)のB(q,0)中の先頭ビ
ットに対応する。まず、このD(0,q)を先頭ビット
として読み出す。次のリードデータD( 1、q)は、
同じくバンク−1のLa(q)のB(q,0)中のD
(0、q)の次に位置するデータであり、これを第2番
目のリードデータとして読み出す。同様に、またその次
のリードデータD( 2、q)は、同じくバンク−1の
La(q)のB(q,0)中のD(1、q)の次に位置
するデータである。このように先頭ビットからk番目の
リードデータD(k−1、q)まではバンク−1のLa
(q)のB(q,0)中にシリアルに並んでいるため、
ロウ方向のページモード(高速シリアルアクセス)と同
様な回路動作でコラム方向の合計kビットをD(0,
q)からD(k−1、q)まで、高速にシリアルアクセ
スできる。このバンク−1でのアクセス中、バンク−0
のLb(q)中のB(q,1)のアクセス準備が行なわ
れている。具体的には、B(q,1)に対応するバンク
−0のワードラインを立ち上げている。これにより、バ
ンク−1からバンク−0に切り替わってもシリアルアク
セスが連続するようになる。
【0018】バンク−0のLb(q)中のB(q,1)
の先頭ビットデータはD(k、q)であり、k+1番目
のコラム方向シリアルリードデータとしてk番目のデー
タの次に引き続いて読み出される。バンク−1でのアク
セスと同様、コラム方向の合計kビットをD(k,q)
からD(2k−1、q)まで、高速にシリアルアクセス
できる。すなわち、k+1番目から2k番目のリードデ
ータD(2k−1、q)まではバンク−0のLb(q)
のB(q,1)中にシリアルに並んでいるため、ロウ方
向のページモード(高速シリアルアクセス)と同様な回
路動作でコラム方向の合計kビットをD(k,q)から
D(2k−1、q)まで、高速にシリアルアクセスでき
るのである。このバンク−0でのアクセス中、バンク−
1のLa(q)中のB(q,2)のアクセス準備をして
いる。具体的には、B(q,2)に対応するバンク−1
のワードラインを立ち上げている。これにより、バンク
−0からバンク−1に切り替わってもシリアルアクセス
が連続するようになる。以後、バンク−0およびバンク
−1において同様の動作を図7の矢印で示されるように
繰り返すことでコラム方向の高速シリアルリード動作が
可能となる。本発明のメモリ装置では、コラム方向のア
ドレスが連続するデータがロウ方向に並んでおり、この
データを読み出している間に反対バンクの次のコラム方
向のアドレスが連続するデータのアクセス準備ができる
ため、間断ない高速シリアルアクセスができるのであ
る。
【0019】つぎに、4X4ブロックという簡単な例に
より具体的な実施例を説明する。図8は、図1を512
ビットの容量のメモリの例に対応させて示した図であ
る。上述の図4に相当する図(図2におけるX=0のラ
インアクセスの例)を図9に示す。また、上述の図5に
相当する図(図2におけるX=4のラインアクセスの
例)を図10に示す。さらに、図2におけるX=8のラ
インアクセスの例を図11に、 図2におけるX=12
のラインアクセスの例を図12に示す。ロウ方向のシリ
アルアクセスは、図9ないし図12においてアクセスす
る場所が若干異なるだけで、動作自体は同様であるた
め、図9の例を代表として説明する。まず、図8および
図9に示すLa(0)のB(0,0)中の先頭ビットに
D(0,0)(これはXアドレス00000とYアドレ
ス0000で指定される)が最初に書き込まれる。第2
番目にLa(1)のB(1,0)中の先頭ビットにD
(0,1)が書き込まれる。第3番目にLa(2)のB
(2,0)中の先頭ビットにD(0,2)が書き込まれ
る。さらに、La(3)のB(3,0)中の先頭ビット
にD(0,3)が第4番目に書き込まれる。 以上で図
8で示したメモリのアドレスマップのX=00000の
ライン上のシリアルビットが4ビットが書き込まれる。
以上のバンク−0での書き込み動作の間に、バンク−1
の書き込み用意が整っている。具体的には、バンク−1
のX=10100のワ−ド線が立ち上げられている。
【0020】第5番目にバンク−1のLa(4)のB
(4,0)の先頭ビットにD(0,4)が書き込まれ
る。第6番目の書き込みはLa(5)のB(5,0)の
先頭ビットにD(0,5)が書き込まれる。 同様な動
作を繰り返し、La(7)のB(7,0)中の先頭ビッ
トにD(0,7)が第8番目に書き込まれる。 以上
で、さらに図8で示したメモリのアドレスマップのX=
10100のライン上のシリアルビットが4ビットが書
き込まれる。以上のバンク−1での書き込み動作の間
に、バンク−0への書き込み用意が整っている。具体的
には、バンク−0のX=01000のワ−ド線が立ち上
げられている。さらに、上記バンク−0およびバンク−
1での書き込み動作で説明した動作が、図9の矢印で示
されるようにLa(15)まで連続する。これにより、
図8で示したメモリのアドレスマップのロウ方向のシリ
アルビットの高速書き込み(ロウ方向のページアクセ
ス)ができる。
【0021】次に、図8の実施例について、コラム方向
のシリアルアクセスの動作を説明する。図13は、コラ
ム方向のシリアルアクセスの例としてY=0の時の図8
におけるX=0からX=15までのアクセスの例を示
す。アクセスはバンク−0のLa(0)のB(0,0)
中の先頭ビットD(0,0)から開始し、矢印の方向に
したがってD(3,0)までアクセスし、a.の矢印に
示されるようにバンク−1のLb(0)のB(0,1)
中のD(4,0)をアクセスし、D(7,0)までアク
セスした後、b.の矢印の方向にしたがってLa(0)
のB(0,4)中のD(8,0)を連続アクセスする。
以降同様な動作でD(15,0)までシリアルアクセス
が連続する。このコラム方向のシリアルアクセスにおい
ても、バンク−0とバンク−1とを交互にアクセスする
ため、ロウ方向のシリアルビットの高速アクセス同様に
高速アクセスが可能となる。
【0022】つぎに、本願発明の半導体メモリ装置の回
路について説明する。図14は本願発明の半導体メモリ
装置の第1の実施例の回路図である。メモリバンク−0
およびメモリバンク−1においては、メモリ容量とトラ
ンジスタからなるメモリ単位Qij(i=1〜n、j=
1〜m:以下省略)が、ビットライン対BLi,BLi
/に複数接続されている。ビットライン対BLi,BL
i/はセンスアンプSAiに接続され、かつ、データバ
ス対に接続する開閉トランジスタTrai,Trai/
に接続される。このビットライン対の一つがコラム単位
Ciを形成する。アドレス発生手段Dから発生するXア
ドレスXAにより、メモリセル単位Qijに接続する任
意のワードラインWLjを選択するXデコーダ手段A
と、アドレス発生手段Dから発生するYアドレスYAに
より、任意のコラム単位Ciを選択するYデコーダ手段
Bと複数のコラム単位群でバンク−0とバンク−1とが
それぞれ構成されている。I/O端子を有する入出力手
段Eはデータバス対に接続され、バンク−0とバンク−
1の共通の入出力動作を行う。
【0023】アドレスADDは、既に詳細に述べた本発
明のアドレス変換を行うためのアドレス変換手段Fに入
力される。アドレス変換手段Fは変換したアドレスをア
ドレス発生手段Dに入力する。メモリコントロール信号
として、クロック信号CLK、チップセレクト信号CS
/、ロウアドレスストローブ信号RAS/、コラムアド
レスストローブ信号CAS/、ライトイネーブル信号W
E/、バンクセレクト信号BS(これはアドレスと同じ
扱いをする)および入力アドレス信号ADDがコントロ
ール信号発生手段Iに入力される。コントロール信号発
生手段Iはアドレス発生手段D、入出力手段Eをはじめ
すべてのメモリ動作をコントロールする信号を発生す
る。このコントロ−ルする信号についての説明はここで
は詳細は省略する。
【0024】つぎに、この第1の実施例の回路の動作を
説明する。図15に図14で示した第1の実施例の回路
動作を説明する。まず、どのように2バンクを交互に利
用して異なるワードライン上のデータを出力するかを時
刻をおって説明する。 時刻t0:RAS/とCS/がロウレベルであるため、
CLKの立ち上がりに同期し、この時のADDがXアド
レスXiとして取り込まれる。この時BSがロウレベル
であるためバンク−0のワードラインWL0iが立ち上
がる。 時刻t1:CAS/とCS/がロウレベルであるため、
CLKの立ち上がりに同期し、この時のADDがYアド
レスYiとして取り込まれ、バンク−0のコラムライン
Y0iが選択される。 時刻t2:WL0iとY0iにより選択されたメモリセ
ル単位のデータD0iがデータバスGを介してI/Oよ
り出力する。 時刻t3:RAS/とCS/がロウレベルであるため、
CLKの立ち上がりに同期し、この時のADDがXアド
レスXiとして取り込まれる。この時BSがハイレベル
であるためバンク−1のワードラインWL1iが立ち上
がる。このWL1iによるアクセスは時刻t6以降に行
われる。この時、CLKの立ち上がりに同期し、コラム
ラインY0i+1に選択されたメモリセル単位のデータ
D0i+1がデータバスGを介してI/O手段Gに転送
されてI/Oより出力する。
【0025】時刻t4:CLKの立ち上がりに同期し、
コラムラインY0i+2に選択されたメモリセル単位の
データD0i+2がデータバスGを介してI/Oより出
力する。この時、RAS/、CS/がロウレベル、CA
S/がハイレベルであり、図には特に示されていない
が、ある片側プリチャージモードにセットするためにそ
の素子特有に前もって定義された1アドレス(例えばA
8などで、A8がロウレベルである時、バンク−0がプ
リチャージモードになり、A8がハイレベルの時はバン
ク−1がプリチャージモードになる)がロウレベルであ
るとすると、バンク−0の活性化されていた選択ワード
ラインWL0iはロウレベルとなる。 時刻t5:RAS/がハイレベル、CAS/とCS/が
ロウレベルであるため、CLKの立ち上がりに同期し、
この時のADDがYアドレスYiとして取り込まれ、バ
ンク−1のコラムラインY1iが選択される。同時期
に、同CLKの立ち上がりに同期し、コラムラインY0
i+3に選択されたメモリセル単位のデータD0i+3
がデータバスGを介してI/Oより出力する。 時刻t6:時刻t3で選択されたワードラインWL1i
と時刻t5で選択されたコラムラインY1iで選択され
たメモリ単位のデータD1iはデータバスGを介してI
/O手段Gに転送されてI/Oより出力する。
【0026】図16は第1の実施例の各バンクの構成を
図8の4X4ブロックの例の場合に仮定したアドレス変
換手段の回路例を示す図である。このアドレス変換回路
を用いれば、本発明の第1の実施例のアドレス変換が容
易にできる。このように、本発明の第1の実施例ではロ
ウ方向の高速シリアルアクセスを可能にしつつ、従来で
きなかったコラム方向の高速シリアルアクセスを可能に
するためのアドレス変換をメモリ上で容易に高速に行え
る。
【0027】図17は本願発明の半導体メモリ装置の第
2の実施例の回路図である。第2の実施例では、第1の
実施例にアドレス変換手段切り替え信号Pが入力するア
ドレス変換手段切り替え回路Gを加えた。このアドレス
変換手段切り替え回路Gはアドレス変換手段Fをコント
ロールする。図18は実施例2のアドレス変換手段切り
替え回路とアドレス変換手段をまとめて示した回路図で
ある。 アドレス変換手段切り替え信号Pがロウレベル
のとき、入力アドレスADD(X0,X1,X2,X
3,X4,Y0,Y1,Y2.Y3)はそのまま内部ア
ドレスとなるが、ハイレベルのときは、図17で説明し
たような、本発明を実現するアドレス変換手段となる。
第2の実施例でも第1の実施例と同様に、ロウ方向の高
速シリアルアクセスを可能にしつつ、従来できなかった
コラム方向の高速シリアルアクセスを可能にするための
アドレス変換をメモリ上で容易に高速に行える。さら
に、第2の実施例では アドレス変換手段切り替え信号
Pがロウレベルのときは、従来と同様のメモリとしての
メモリマッピングができる。また、アドレス変換した
後、アドレス発生に切り替えてアドレス変換して書き込
んだデータをロウ方向のページモードで出力できるの
で、ブロックアクセスが低消費電力で可能となる。
【0028】図19は本願発明の半導体メモリ装置の第
3の実施例の回路図である。図19に示すように、第3
の実施例では特殊シリアルアドレス発生手段DYを設
け、特殊シリアルYアドレスSYAをYアドレス手段B
に入力する。特殊シリアルアドレス発生手段DYには信
号PL,PSが入力する。コントロール信号発生手段に
は特殊シリアルYアドレス発生手段のモード切り替え信
号T/Yが入力される。図20は特殊シリアルYアドレ
ス発生手段の具体的回路を示す図である。Ci(i=0
〜n−1)は、シリアルアドレス発生のためのアドレス
カウンタを構成するカウンタ要素回路である。図21は
特殊シリアルYアドレス発生手段の動作を示すタイミン
グチャ−トである。ここでは、入力アドレスY0〜Yn
−1はすべてロウレベルであると仮定する。以下時間を
おって特殊シリアルYアドレス発生手段の動作を説明す
る。
【0029】時刻t0:信号PLがハイレベルとなり、
トランジスタTrd0〜Trdn−1がオンとなり入力
アドレスはカウンタ要素回路C0〜Cn−1に取り込ま
れる。YアドレスSY0からSYn−1は入力アドレス
をそのまま出力し、すべてロウレベルとなる。この時信
号PSはハイレベルであるため(T/Yにより制御され
る)、トランジスタTra0〜Trai−1はオフ、ト
ランジスタTreもオフ、トランジスタTrfはオンと
なる。 時刻t1:クロック信号CLKが立ち上がっても、信号
PSがハイレベルであるため トランジスタTra0〜
Trai−1はオフで、クロック信号CLKはC0〜C
i−1に入力されない。従って、YアドレスSY0〜S
Yi−1はロウレベルのままである。一方、カウンタ要
素回路CiのノードaはPSがハイレベルで、トランジ
スタTrfはオンであるため、接地レベルとなる。従っ
て、カウンタ要素回路Ciの出力SYiはクロック信号
CLKの立ち上がりを受けてハイレベルとなる。さらに
上位のYアドレスSYi+1、SYi+
2、......はロウレベルのままである。
【0030】時刻t2:次のクロックでSYiはロウと
なり、SYi+1はハイとなる。 時刻t3まで 図24に示すように、カウンタ要素回路Ci〜Cn−1
がアドレスカウンタとなり、その出力であるYアドレス
SYi〜SYn−1がCLKの立ち上がりに同期してシ
リアルアドレスとしを発生する。カウンタ要素回路C0
〜Ci−1は信号PSがハイレベルである(T/Yによ
り制御される)ので、クロック信号CLKが入力せず、
出力は変化しない。 時刻t3:信号PLがハイレベルとなり、トランジスタ
Trd0〜Trdn−1がオンとなり、入力アドレスは
カウンタ要素回路C0〜Cn−1に取り込まれる。Yア
ドレスSY0からSYn−1は入力アドレスをそのまま
出力し、すべてロウレベルとなる。その直後信号PSは
ロウレベルとなるとする。この時、トランジスタTra
0〜Trai−1はオン、トランジスタTreもオン、
トランジスタTrfはオフとなる。この時、カウンタ要
素回路C0〜Cn−1が一連のアドレスカウンタとな
る。 時刻t4以降 時刻t0〜時刻t3までと同様な動作で、カウンタ要素
回路C0〜Cn−1が一連のクロック信号CLKでカウ
ントアップするアドレスカウンタとなりインクリメンタ
ルアドレスを図21に示すように発生する。
【0031】第3の実施例によるメモリの書き方、読み
方においてYデコーダ手段のシリアルアクセス動作は2
種類ある。ロウ方向のシリアルアクセスをする場合、図
4〜図6に示すような下位のYアドレスが一定のまま上
位のYアドレスが、上位アドレスの内、最下位のアドレ
スがあたかもYアドレス”0”のように働き、クロック
信号に対し上位のアドレスをインクリメントさせてい
く。一方、コラム方向のシリアルアクセスをする時、図
7に示したような従来のシリアルアクセスをするように
最下位のYアドレス”0”から最上位のYアドレスまで
が一連のカウンタとなる場合がある。シリアルアクセス
するためには、外部でアドレスを生成しても可能だが、
より高速なシリアルアクセスが可能なアドレス発生手段
が必要となる。上記2種類の高速シリアルYアドレス発
生は、図20の特殊シリアルアドレス手段を使えば可能
となる。すなわち、図21の時刻t0〜時刻t3で示し
たように信号PSがハイレベルのとき、クロック信号C
LKが入力しても上記下位Yアドレスは下位Yアドレス
のままレベルを保つ(上記例では初期値として入力する
下位Yアドレスはすべてロウレベルであるが、実際はど
のようなアドレスが初期値として入力されてもいい)。
上位Yアドレスは上位Yアドレスカウンタにおいて、あ
たかもカウンタ要素回路Ciが最下位のアドレスカウン
タになったようにクロック信号CLKに対しインクリメ
ンタルなシリアルアドレスを発生し、図4〜図6に示す
Yデコーダ動作を可能とする。
【0032】図21の時刻t3以降で示したように信号
PSがロウレベルのときは、C0を最下位Yアドレスカ
ウンタとしたインクリメンタルなシリアルアドレスを発
生し、図7に示したようなシリアルアクセスができる。
従って、第3の実施例において特殊シリアルYアドレス
発生手段を設けたため、より高速なロウ方向のシリアル
アクセス及び、同等な速度のコラム方向のシリアルアク
セスが可能となる。
【0033】図22は本願発明の半導体メモリ装置の第
4の実施例の回路図である。第4の実施例では第3の実
施例に第2の実施例で示したアドレス変換手段切り替え
回路Fを付加したものである。第4の実施例ではロウ方
向の高速シリアルアクセスを可能にしつつ、従来できな
かったコラム方向の高速シリアルアクセスを可能にする
ためのアドレス変換をメモリ上で容易に高速に行えると
ともに、従来のアドレスを発生してメモリアクセスでき
るため、従来のメモリとしてのメモリマッピングができ
る。また、アドレス変換した後、アドレス発生に切り替
えてアドレス変換して書き込んだデータをロウ方向のペ
ージモードで出力できるので、ブロックアクセスが低消
費電力で可能となる。さらに、特殊シリアルYアドレス
発生手段を設けたため、より高速なロウ方向のシリアル
アクセス及び、同等な速度のコラム方向のシリアルアク
セスが可能となる。
【0034】図8に1バンク4X4ブロックの2バンク
メモリの本発明の第1の実施例の方法を簡単化して示し
たが、まったく同じ考え方で、図8と同一Xアドレス上
のメモリデータを並び替える方法を本発明の第2の実施
例の方法として示す。図26は本発明の第2の実施例の
方法を4X4ブロックの例で示す図である。第2の実施
例の方法では、第1の実施例の方法とほとんど同じ効果
(ロウ方向の高速シリアルアクセスとコラム方向の高速
シリアルアクセスの実現)を得ることができる。即ち、
図8のメモリの同一Xアドレス上にあるデータを連続す
るYアドレスを有するデータの集まりとして、データの
Xアドレス(「D(i,j)のiのこと」)が小さい順
に図23に示すように並べ替える。図23のX=0の例
でいえば、D(0,0),D(0,1),D(0,
2)、D(0,3)D(1,0),D(1,1),D
(1,2).....と並び替える。
【0035】次に、図24および図25を用いて、ロウ
方向のシリアルアクセス(ロウページアクセス)を説明
する。図24に図2で示したメモリのアドレスマップの
X=0のラインビットをシリアルアクセスする順序を示
す。シリアルアクセスの先頭ビットはここではD(0,
0)とする。Ma(0)のC(0,0)中の先頭ビット
D(0,0)からアクセスを開始し、C(0,0)中の
連続するYアドレスを有するデータを順次アクセスして
いく。この間、バンク−1ではバンク−1のX=4のワ
ードラインが選択されることで引き続く連続アクセスの
準備をしている。D(0,3)までアクセスしたら、M
a(4)のC(0,1)中のD(0,4)をアクセス
し、C(0,1)中の連続するYアドレスを有するデー
タを順次アクセスしていく。この間、バンク−0ではバ
ンク−0のX=8のワードラインが選択されることで引
き続く連続アクセスの準備をしている。以上の動作を図
24の矢印に従って行うことで図2におけるX=0のロ
ウ方向のシリアルアクセス、D(0,0)からD(0,
15)が可能になる。 図25に同X=4ロウ方向のシ
リアルアクセス、D(4,0)からD(4,15)の例
を示す。
【0036】図26は図2におけるY=0のコラム方向
のシリアルアクセスのアクセス方法を示す図である。バ
ンク−0のMa(0)〜Ma(3)のD(0,0)〜D
(3,0)を矢印の順にアクセスし、この間、バンク−
1のXアドレス、X=0のロウを活性化し、引き続くシ
リアルアクセスの準備をする。D(3,0)までアクセ
スしたらバンク−1のMb(0)〜Mb(3)のD
(4,0)〜D(7,0)を矢印の順にアクセスし、こ
の間、バンク−0のXアドレス、X=1のロウを活性化
し、引き続くシリアルアクセスの準備をする。以上の動
作を図26の矢印に従って行うことで、図2におけるY
=0のコラム方向のシリアルアクセス、D(0,0)か
らD(31,0)が可能になる。
【0037】
【発明の効果】以上詳細に説明したように、この発明の
半導体メモリ装置およびその読み出しおよび書き込み方
法ロウ方向の高速シリアルアクセスを可能にしつつ、従
来できなかったコラム方向の高速シリアルアクセスを可
能にするためのアドレス変換をメモリ上で容易に高速に
行える。
【図面の簡単な説明】
【図1】この発明の第1の実施例の方法によるメモリの
書き込みマップを示す図
【図2】一般的なアドレスとメモリデ−タの関係を示す
【図3】この発明の説明のためのアドレスブロック分け
を示す図
【図4】この発明の第1の実施例の方法によるメモリの
シリアルアクセスモ−ドを示す図
【図5】この発明の第1の実施例の方法によるメモリの
ロウペ−ジアクセスを示す図
【図6】この発明の第1の実施例の方法によるメモリの
ロウペ−ジアクセスを示す図
【図7】この発明の第1の実施例の方法によるメモリの
コラムペ−ジアクセスを示す図
【図8】この発明の第1の実施例の方法のより具体的な
実施例を示す図
【図9】図8のロウペ−ジアクセスを示す図
【図10】図8のロウペ−ジアクセスを示す図
【図11】図8のロウペ−ジアクセスを示す図
【図12】図8のロウペ−ジアクセスを示す図
【図13】図8のコラムペ−ジアクセスを示す図
【図14】この発明の第1の実施例の回路を示す図
【図15】図14の動作タイミングを示す図
【図16】図14のアドレス変換手段の回路図
【図17】この発明の第2の実施例の回路を示す図
【図18】図17のアドレス変換手段切り替え回路およ
びアドレス変換手段の回路図
【図19】この発明の第3の実施例の回路を示す図
【図20】図19の特殊シリアルYアドレス発生手段の
回路図
【図21】図19の動作説明図
【図22】この発明の第4の実施例の回路を示す図
【図23】この発明の第2の実施例の方法によるメモリ
の書き込みマップを示す図
【図24】この発明の第2の実施例の方法によるメモリ
のロウペ−ジアクセスを示す図
【図25】この発明の第2の実施例の方法によるメモリ
のロウペ−ジアクセスを示す図
【図26】この発明の第1の実施例の方法によるメモリ
のコラムペ−ジアクセスを示す図
【符号の説明】
B(i,j):メモリ単位小集合 La(i):jが奇数のときの B(i,j)の集合 Lb(i):jが偶数のときの B(i,j)の集合

Claims (8)

    【特許請求の範囲】
  1. 【請求項1】 X方向およびY方向に配置された複数の
    メモリセルと、 このメモリセルのY方向のアドレスを選択するYデコ−
    ダ手段と、 前記メモリセルのX方向のアドレスを選択するXデコ−
    ダ手段とを有するメモリバンクがそれぞれ独立に動作で
    きるn個(nは自然数)のメモリバンクを有するメモリ
    に、 X方向に整列した複数のメモリセルに、a個(aは自然
    数)の連続するXアドレスで指定され、同一Yアドレス
    を有するデータを前記複数のバンクのうちの一つの1+
    kn(k=0、1、2....)番目のXアドレスで指
    定される前記複数のメモリセルに順次に書き込み、この
    指定された複数のメモリセルにデ−タが全て書き込まれ
    たら、前記複数のバンクの他の一つの2+kn(k=
    0、1、2....)番目のXアドレスで指定される前
    記複数のメモリセルに順次に書き込むことを特徴とする
    半導体メモリ装置の書き込み方法。
  2. 【請求項2】 X方向およびY方向に配置された複数の
    メモリセルと、 このメモリセルのY方向のアドレスを選択するYデコ−
    ダ手段と、 前記メモリセルのX方向のアドレスを選択するXデコ−
    ダ手段とを有するメモリバンクがそれぞれ独立に動作で
    きるn個(nは自然数)のメモリバンクを有するメモリ
    に、 X方向に整列した複数のメモリセルに、a個(aは自然
    数)の連続するXアドレスで指定され、同一Yアドレス
    を有するデータを前記複数のバンクのうちの一つの1+
    kn(k=0、1、2....)番目のXアドレスで指
    定される前記複数のメモリセルから順次に読み出し、こ
    の指定された複数のメモリセルからデ−タが全て読み出
    されたら、前記複数のバンクの他の一つの2+kn(k
    =0、1、2....)番目のXアドレスで指定される
    前記複数のメモリセルから順次に読み出すことを特徴と
    する半導体メモリ装置の読み出し方法。
  3. 【請求項3】 XアドレスX=Xi(iは任意の整数)
    とYアドレスY=Yj(jは任意の整数)で一義的にア
    ドレスを指定できるデータD(i,j)を蓄積し、独立
    して動作可能な複数のメモリバンクを有する半導体メモ
    リ装置において任意のXアドレスX=Xmで指定される
    ワードラインで選択される複数のメモリセルに、a個の
    連続するXアドレスで指定され、同一YアドレスY=Y
    nを有するデータD(m,n)、D(m+1,n)、D
    (m+2,n).......D(m+a−1,n)を書き込
    むことを特徴とする半導体メモリ装置。
  4. 【請求項4】 XアドレスX=Xi(iは任意の整数)
    とYアドレスY=Yj(jは任意の整数)で一義的にア
    ドレスを指定できるデータをD(i,j)を蓄積し、独
    立して動作可能な複数のメモリバンクを有する半導体メ
    モリ装置において、 a個の連続するXアドレスで指定され、同一Yアドレス
    Y=Ynを有するデータD(m,n)、D(m+1,
    n)、D(m+2,n).......D(m+a−1,n)
    をデータ群B(m,n)とした時(m、nは任意の整
    数)、任意のXアドレスX=Xmで指定されるワードラ
    インで選択される複数のメモリセルに書き込み、同様の
    Xアドレスに k個(kは任意の整数)のB(m,
    n)、B(m,n+1)、B(m,n+2).......B
    (m,n+k−1)を書き込む事を特徴とする半導体メ
    モリ装置。
  5. 【請求項5】 XアドレスX=Xi(iは任意の整数)
    とYアドレスY=Yj(jは任意の整数)で一義的にア
    ドレスを指定できるデータをD(i,j)を蓄積し、独
    立して動作可能な複数のメモリバンクを有する半導体メ
    モリ装置において、 a個の連続するXアドレスで指定され、同一Yアドレス
    Y=Ynを有するデータD(m,n)、D(m+1,
    n)、D(m+2,n).......D(m+a−1,n)
    をデータ群B(m,n)とした時(m、nは任意の整
    数)、ある一つのメモリバンクの任意のXアドレスX=
    Xmで指定されるワードラインで選択される複数のメモ
    リセルに書き込み、同様のXアドレスに k個(kは任
    意の整数)のB(m,n)、B(m,n+1)、B
    (m,n+2).......B(m,n+k−1)を書き込
    み、 異なるメモリバンクの任意のXアドレスX=Xmで指定
    されるワードラインに接続する複数のメモリに 、書き
    込み、k個(kは任意の整数)のB(m+k,n)、B
    (m+k,n+1)、B(m+k,n+2).......B
    (m+k,n+k−1)を書き込む事を特徴とする半導
    体メモリ装置。
  6. 【請求項6】 XアドレスX=Xi(iは任意の整数)
    とYアドレスY=Yj(jは任意の整数)で一義的にア
    ドレスを指定できるデータをD(i,j)を蓄積し、独
    立して動作可能な複数のメモリバンクを有する半導体メ
    モリ装置において、 任意のXアドレスX=Xmで指定されるワードラインで
    選択され、連続するYアドレスを有するメモリセルに、
    a個の連続するXアドレスで指定され、同一Yアドレス
    Y=Ynを有するデータD(m,n)、D(m+1,
    n)、D(m+2,n).......D(m+a−1,n)
    を書き込むことを特徴とする半導体メモリ装置。
  7. 【請求項7】 XアドレスX=Xi(iは任意の整数)
    とYアドレスY=Yj(jは任意の整数)で一義的にア
    ドレスを指定できるデータをD(i,j)を蓄積し、独
    立して動作可能な複数のメモリバンクを有する半導体メ
    モリ装置において、 a個の連続するXアドレスで指定され、同一Yアドレス
    Y=Ynを有するデータD(m,n)、D(m+1,
    n)、D(m+2,n).......D(m+a−1,n)
    をデータ群B(m,n)とした時(m、nは任意の整
    数)、任意のXアドレスX=Xmで指定されるワードラ
    インで選択され、連続するYアドレスを有するメモリセ
    ルに書き込み、同様のXアドレスに k個(kは任意の
    整数)のB(m,n)、B(m,n+1)、B(m,n
    +2).......B(m,n+k−1)を書き込む事を特
    徴とする半導体メモリ装置。
  8. 【請求項8】 XアドレスX=Xi(iは任意の整数)
    とYアドレスY=Yj(jは任意の整数)で一義的にア
    ドレスを指定できるデータをD(i,j)を蓄積し、独
    立して動作可能な複数のメモリバンクを有する半導体メ
    モリ装置において、 a個の連続するXアドレスで指定され、同一Yアドレス
    Y=Ynを有するデータD(m,n)、D(m+1,
    n)、D(m+2,n).......D(m+a−1,n)
    をデータ群B(m,n)とした時(m、nは任意の整
    数)、ある一つのメモリバンクの任意のXアドレスX=
    Xmで指定されるワードラインで選択され、連続するY
    アドレスを有する複数のメモリセルに書き込み、同様の
    Xアドレスにk個(kは任意の整数)のB(m,n)、
    B(m,n+1)、B(m,n+2).......B(m,
    n+k−1)を書き込み、 異なるメモリバンクの任意のXアドレスX=Xmで指定
    されるワードラインに接続する複数のメモリに 、同様
    にB(i,j)中のデータを連続するYアドレスを有す
    る 複数のメモリセルに書き込み、k個(kは任意の整
    数)のB(m+k,n)、B(m+k,n+1)、B
    (m+k,n+2).......B(m+k,n+k−1)
    を書き込む事を特徴とする半導体メモリ装置。
JP9088241A 1997-04-07 1997-04-07 半導体メモリ装置およびその読み出しおよび書き込み方法 Pending JPH10283770A (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP9088241A JPH10283770A (ja) 1997-04-07 1997-04-07 半導体メモリ装置およびその読み出しおよび書き込み方法
US09/055,186 US6301649B1 (en) 1997-04-07 1998-04-06 Semiconductor circuit with address translation circuit that enables quick serial access in row or column directions
US09/933,672 US6640295B2 (en) 1997-04-07 2001-08-22 Semiconductor circuit with address translation circuit that enables quick serial access in row or column directions
US10/668,300 US6920536B2 (en) 1997-04-07 2003-09-24 Method of accessing matrix data with address translation circuit that enables quick serial access in row or column directions
US11/143,860 US7219200B2 (en) 1997-04-07 2005-06-03 Method of accessing matrix data with address translation circuit that enables quick serial access in row or column directions

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP9088241A JPH10283770A (ja) 1997-04-07 1997-04-07 半導体メモリ装置およびその読み出しおよび書き込み方法

Publications (1)

Publication Number Publication Date
JPH10283770A true JPH10283770A (ja) 1998-10-23

Family

ID=13937373

Family Applications (1)

Application Number Title Priority Date Filing Date
JP9088241A Pending JPH10283770A (ja) 1997-04-07 1997-04-07 半導体メモリ装置およびその読み出しおよび書き込み方法

Country Status (2)

Country Link
US (4) US6301649B1 (ja)
JP (1) JPH10283770A (ja)

Families Citing this family (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7205993B2 (en) * 2001-02-15 2007-04-17 Sony Corporation Checkerboard buffer using two-dimensional buffer pages and using memory bank alternation
US6801204B2 (en) * 2001-02-15 2004-10-05 Sony Corporation, A Japanese Corporation Checkerboard buffer using memory blocks
US6828977B2 (en) * 2001-02-15 2004-12-07 Sony Corporation Dynamic buffer pages
US6765579B2 (en) * 2001-02-15 2004-07-20 Sony Corporation Pixel pages using combined addressing
US6803917B2 (en) * 2001-02-15 2004-10-12 Sony Corporation Checkerboard buffer using memory bank alternation
US6850241B2 (en) * 2001-02-15 2005-02-01 Sony Corporation Swapped pixel pages
US6765580B2 (en) * 2001-02-15 2004-07-20 Sony Corporation Pixel pages optimized for GLV
US7038691B2 (en) * 2001-02-15 2006-05-02 Sony Corporation Two-dimensional buffer pages using memory bank alternation
US6831650B2 (en) * 2001-02-15 2004-12-14 Sony Corporation Checkerboard buffer using sequential memory locations
US7088369B2 (en) * 2001-02-15 2006-08-08 Sony Corporation Checkerboard buffer using two-dimensional buffer pages and using bit-field addressing
US6795079B2 (en) * 2001-02-15 2004-09-21 Sony Corporation Two-dimensional buffer pages
US6791557B2 (en) * 2001-02-15 2004-09-14 Sony Corporation Two-dimensional buffer pages using bit-field addressing
US7379069B2 (en) * 2001-02-15 2008-05-27 Sony Corporation Checkerboard buffer using two-dimensional buffer pages
US6831651B2 (en) * 2001-02-15 2004-12-14 Sony Corporation Checkerboard buffer
US6831649B2 (en) * 2001-02-15 2004-12-14 Sony Corporation Two-dimensional buffer pages using state addressing
US6992674B2 (en) * 2001-02-15 2006-01-31 Sony Corporation Checkerboard buffer using two-dimensional buffer pages and using state addressing
US6768490B2 (en) * 2001-02-15 2004-07-27 Sony Corporation Checkerboard buffer using more than two memory devices
US20030058368A1 (en) * 2001-09-24 2003-03-27 Mark Champion Image warping using pixel pages
KR100438779B1 (ko) * 2001-11-26 2004-07-05 삼성전자주식회사 멀티 뱅크 구조를 포함하는 동기식 반도체 메모리 장치
US6965980B2 (en) * 2002-02-14 2005-11-15 Sony Corporation Multi-sequence burst accessing for SDRAM
JP4586627B2 (ja) * 2005-05-18 2010-11-24 ソニー株式会社 データアクセス装置、データアクセス方法、プログラムおよび記録媒体
US7932912B1 (en) 2006-10-04 2011-04-26 Nvidia Corporation Frame buffer tag addressing for partitioned graphics memory supporting non-power of two number of memory elements
US8072463B1 (en) * 2006-10-04 2011-12-06 Nvidia Corporation Graphics system with virtual memory pages and non-power of two number of memory elements
US7884829B1 (en) 2006-10-04 2011-02-08 Nvidia Corporation Partitioned graphics memory supporting non-power of two number of memory elements
US20090013148A1 (en) * 2007-07-03 2009-01-08 Micron Technology, Inc. Block addressing for parallel memory arrays
US8725915B2 (en) 2010-06-01 2014-05-13 Qualcomm Incorporated Virtual buffer interface methods and apparatuses for use in wireless devices
US20110296078A1 (en) * 2010-06-01 2011-12-01 Qualcomm Incorporated Memory pool interface methods and apparatuses
US8527993B2 (en) 2010-06-01 2013-09-03 Qualcomm Incorporated Tasking system interface methods and apparatuses for use in wireless devices
JP6131357B1 (ja) * 2016-03-18 2017-05-17 力晶科技股▲ふん▼有限公司 半導体記憶装置とそのアドレス制御方法
US11568920B2 (en) 2017-08-02 2023-01-31 Samsung Electronics Co., Ltd. Dual row-column major dram

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2895488B2 (ja) * 1988-04-18 1999-05-24 株式会社東芝 半導体記憶装置及び半導体記憶システム
US5835952A (en) * 1993-07-14 1998-11-10 Matsushita Electric Industrial Co., Ltd. Monolithic image data memory system and access method that utilizes multiple banks to hide precharge time
US5561777A (en) * 1993-08-30 1996-10-01 Xerox Corporation Process for sequentially reading a page from an image memory in either of two directions
JPH08123953A (ja) * 1994-10-21 1996-05-17 Mitsubishi Electric Corp 画像処理装置
JP3138173B2 (ja) * 1995-04-10 2001-02-26 シャープ株式会社 グラフィックス用フレームメモリ装置
US5598374A (en) * 1995-07-14 1997-01-28 Cirrus Logic, Inc. Pipeland address memories, and systems and methods using the same
US5924111A (en) * 1995-10-17 1999-07-13 Huang; Chu-Kai Method and system for interleaving data in multiple memory bank partitions
JP3686155B2 (ja) * 1996-03-21 2005-08-24 株式会社ルネサステクノロジ 画像復号装置
US5781201A (en) * 1996-05-01 1998-07-14 Digital Equipment Corporation Method for providing improved graphics performance through atypical pixel storage in video memory

Also Published As

Publication number Publication date
US20020026557A1 (en) 2002-02-28
US6301649B1 (en) 2001-10-09
US6920536B2 (en) 2005-07-19
US6640295B2 (en) 2003-10-28
US20050226082A1 (en) 2005-10-13
US20040062122A1 (en) 2004-04-01
US7219200B2 (en) 2007-05-15

Similar Documents

Publication Publication Date Title
JPH10283770A (ja) 半導体メモリ装置およびその読み出しおよび書き込み方法
US6965980B2 (en) Multi-sequence burst accessing for SDRAM
US5917770A (en) Semiconductor memory device for temporarily storing digital image data
JP5126360B2 (ja) メモリ装置及びそれを制御するメモリコントローラ
US5598372A (en) Semiconductor memory
JPH09128289A (ja) 多メモリバンクパーティション内のデータをインターリーブする方法及びシステム及びそれに用いられるメモリ
US5497351A (en) Random access memory with divided memory banks and data read/write architecture therefor
JP2003223785A (ja) 高速で動作する半導体メモリ装置及びその使用方法及び設計方法
US5383160A (en) Dynamic random access memory
JP3464621B2 (ja) バンク可変メモリ
US4896301A (en) Semiconductor memory device capable of multidirection data selection and having address scramble means
US6819323B2 (en) Structure and method for gaining fast access to pixel data to store graphic image data in memory
JPS593790A (ja) ダイナミツクメモリ素子を用いた記憶装置
EP0959428A2 (en) Image processing apparatus, special effect apparatus and image processing method
JP5204777B2 (ja) メモリ装置及びその制御方法
US20040186869A1 (en) Transposition circuit
JPH11250660A (ja) メモリデバイスおよび該メモリデバイスのアドレッシング方法
JPH02143983A (ja) 半導体記憶装置
JP2708841B2 (ja) ビットマップメモリの書き込み方法
JP2567177B2 (ja) 半導体記憶装置
JPS63163938A (ja) ダイナミツクramコントロ−ラ
JP3189490B2 (ja) 半導体記憶装置
JPH0773100A (ja) 画像メモリ
JPH0877770A (ja) リフレッシュアドレスカウンタ
JPH09190376A (ja) メモリ制御装置

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20060629

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20060704

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20060822

RD01 Notification of change of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7421

Effective date: 20060923

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20060929

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20061013

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070424

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070625

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20071127