WO2017090745A1 - レジストパターン形成方法およびレジスト材料 - Google Patents

レジストパターン形成方法およびレジスト材料 Download PDF

Info

Publication number
WO2017090745A1
WO2017090745A1 PCT/JP2016/085024 JP2016085024W WO2017090745A1 WO 2017090745 A1 WO2017090745 A1 WO 2017090745A1 JP 2016085024 W JP2016085024 W JP 2016085024W WO 2017090745 A1 WO2017090745 A1 WO 2017090745A1
Authority
WO
WIPO (PCT)
Prior art keywords
sensitizer
resist layer
resist
exposure
base
Prior art date
Application number
PCT/JP2016/085024
Other languages
English (en)
French (fr)
Inventor
精一 田川
Original Assignee
国立大学法人大阪大学
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 国立大学法人大阪大学 filed Critical 国立大学法人大阪大学
Priority to EP16868688.9A priority Critical patent/EP3382452B1/en
Priority to KR1020187017052A priority patent/KR102170659B1/ko
Priority to US15/779,013 priority patent/US11187984B2/en
Priority to JP2017552739A priority patent/JP6552070B2/ja
Priority to CN201680068632.9A priority patent/CN108292094B/zh
Publication of WO2017090745A1 publication Critical patent/WO2017090745A1/ja

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2022Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0045Photosensitive materials with organic non-macromolecular light-sensitive compounds not otherwise provided for, e.g. dissolution inhibitors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/038Macromolecular compounds which are rendered insoluble or differentially wettable
    • G03F7/0382Macromolecular compounds which are rendered insoluble or differentially wettable the macromolecular compound being present in a chemically amplified negative photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/094Multilayer resist systems, e.g. planarising layers

Definitions

  • the present invention relates to a resist pattern forming method and a resist material.
  • EUV extreme ultraviolet light
  • the sensitivity of resist materials is being increased so as to reduce the exposure time as much as possible.
  • the sensitivity and resolution of the resist are improved by a composition containing a specific resin and compound.
  • the present invention has been made in view of the above problems, and its purpose is to eliminate the tradeoff between sensitivity, resolution, and line width roughness (LWR) to improve the sensitivity of the resist layer and reduce roughness due to photon shot noise.
  • An object of the present invention is to provide a resist pattern forming method and a resist material that can be suppressed.
  • the resist pattern forming method includes a resist layer forming step of forming a resist layer containing a base resin, a sensitizer precursor, a strong acid generator and a base on a substrate, and pattern exposure is performed on the resist layer.
  • the pattern exposure step includes a step of generating a strong acid from the strong acid generator, a step of generating a sensitizer by a reaction of the strong acid and the sensitizer precursor, and a weak acid by a reaction of the strong acid and the base. And generating a sensitizer by reacting the weak acid with the sensitizer precursor.
  • the sensitizer precursor is 1,1-diphenyl-3- (2-naphthyl) propargyl alcohol, 1,1-diphenyl-3-phenylpropargyl alcohol, 1, 1-diphenyl-3-parachlorophenylpropargyl alcohol, 1,1-diphenyl-3-paramethylphenylpropargyl alcohol, 1,1-diphenyl-3-paramethoxyphenylpropargyl alcohol, 1-phenyl-1-parachlorophenyl-3- Phenylpropargyl alcohol, 1-phenyl-1-paramethylphenyl-3-phenylpropargyl alcohol, 1-phenyl-1-paramethoxyphenyl-3-phenylpropargyl alcohol, 1,1-diphenyl-3- [4- (trifluorome ) Phenyl] propargyl alcohol, and at least one selected from the group consisting of derivatives of either.
  • the base in the resist layer forming step, includes a photodegradable base.
  • the resist layer in the resist layer forming step, the resist layer further contains a base generator.
  • the resist pattern forming method further includes an alteration step of performing an alteration process for inverting the resist layer between a positive type and a negative type after the flood exposure step.
  • the resist pattern forming method further includes a base layer forming step of forming a base layer positioned between the resist layer and the substrate.
  • the resist pattern forming method further includes a top coat forming step of forming a top coat on the resist layer.
  • the flood exposure time is within one minute.
  • the absorption spectrum of the sensitizer does not change during the flood exposure.
  • the resist material according to the present invention includes a resist composition containing a base resin, a sensitizer precursor, a strong acid generator and a base.
  • the sensitizer precursor includes 1,1-diphenyl-3- (2-naphthyl) propargyl alcohol, 1,1-diphenyl-3-phenylpropargyl alcohol, 1,1-diphenyl-3-parachlorophenylpropargyl alcohol, , 1-Diphenyl-3-paramethylphenylpropargyl alcohol, 1,1-diphenyl-3-paramethoxyphenylpropargyl alcohol, 1-phenyl-1-parachlorophenyl-3-phenylpropargyl alcohol, 1-phenyl-1-paramethyl Phenyl-3-phenylpropargyl alcohol, 1-phenyl-1-paramethoxyphenyl-3-phenylpropargyl alcohol, 1,1-diphenyl-3- [4- (trifluoromethyl) phenyl] propargyl alcohol,
  • the base includes a photodegradable base.
  • the resist composition further contains a base generator.
  • the sensitivity, resolution, and line width roughness (LWR) trade-off can be eliminated to improve the sensitivity of the resist layer and to suppress roughness due to photon shot noise.
  • (A)-(d) is a schematic diagram which shows each process of embodiment of the resist pattern formation method by this invention. It is a figure which shows the main reaction formula which arises in a resist layer at the time of pattern exposure. It is a figure which shows the main reaction formula which arises in a resist layer at the time of flood exposure.
  • (A) And (b) is a schematic diagram which shows the density distribution in the resist layer after pattern exposure and after flood exposure, respectively.
  • (A)-(d) is a schematic diagram which shows each process of the resist pattern formation method by this embodiment.
  • (A)-(d) is a schematic diagram which shows each process of the resist pattern formation method by this embodiment.
  • (A)-(d) is a schematic diagram which shows each process of embodiment of the resist pattern formation method by this invention.
  • (A) And (b) is a schematic diagram which shows the density distribution in the resist layer at the time of pattern exposure and flood exposure, respectively.
  • (A)-(e) is a schematic diagram which shows each process of the resist pattern formation method by this embodiment. In this embodiment, the typical spectrum of the absorption wavelength of a sensitizer precursor, a sensitizer, and a base generator is shown.
  • It is a schematic diagram of a resist latent image forming apparatus suitable for carrying out the resist pattern forming method according to the present embodiment.
  • (A)-(c) is a figure which shows the SEM image of the resist layer of an Example.
  • (A)-(c) is a figure which shows the SEM image of the resist layer of an Example.
  • (A)-(c) is a figure which shows the SEM image of the resist layer of an Example.
  • (A)-(c) is a figure which shows the SEM image of the resist layer of a reference example.
  • resist layers composed of resist materials: a positive type in which an exposed portion is dissolved in a developer and a negative type in which an exposed portion is not dissolved in a developer.
  • a positive type is used.
  • the resist layer of the mold will be described.
  • the resist layer may be a chemically amplified type containing a strong acid generator that generates an acid upon exposure and a base material (base resin) whose solubility in a developer is changed by the action of the acid.
  • FIGS. 1 (a) to 1 (d) are schematic views showing respective steps of the embodiment of the resist pattern forming method according to the present invention
  • FIG. 2 shows main reactions occurring in the resist layer during pattern exposure
  • FIG. 3 shows main reaction formulas generated in the resist layer during flood exposure
  • FIGS. 4A and 4B are schematic diagrams showing the concentration distribution in the resist layer after pattern exposure and after flood exposure, respectively.
  • a resist layer 10 is formed on a substrate S as shown in FIG.
  • the resist layer 10 is formed by applying a resist material dissolved in a solution onto a prepared substrate S (for example, a wafer) and performing pre-baking.
  • a prepared substrate S for example, a wafer
  • an object of photolithography for example, a semiconductor layer or an insulating layer
  • the resist layer 10 contains a base resin R, a sensitizer precursor Pp, a strong acid generator SPA (Strong Photo Acid Generator) and a base Ba. Note that the resist layer 10 may be formed directly on the substrate S or may be formed on an underlayer provided on the substrate S. In the resist layer 10, the strong acid generator SPA, the sensitizer precursor Pp, and the base Ba have a substantially constant concentration regardless of the location.
  • the sensitizer precursor Pp is 0.1 parts by mass or more and 40 parts by mass or less
  • the strong acid generator SPA is 0.1 parts by mass or more. It is 40 parts by mass or less
  • the base Ba is more than 0 parts by mass and 40 parts by mass or less.
  • Base resin R is, for example, a methyl methacrylate polymer (hereinafter sometimes referred to as “MMA resin”).
  • MMA resin methyl methacrylate polymer
  • MMA resin eliminates intermediates, radicals and ions. Hateful.
  • the base resin R may include a polyhydroxystyrene resin (PHS resin).
  • PHS resin polyhydroxystyrene resin
  • the base resin R may be a mixed type of MMA resin and PHS resin.
  • the base resin R may be various resins having a protecting group such as a phenol resin or an acetal type. In the case of EUV exposure or EB exposure, protons are mainly generated from the base resin R, move in the base resin R or between the base resins R, and react with anions generated by the dissociation of the strong acid generator SPA to generate an acid. To do.
  • the base resin R may contain not only a high molecular compound but also a low molecular compound. However, an anion generated by dissociation of a strong acid generator SPA by a proton generated from the low molecular compound moving between the base resins. It is preferable that it reacts with and produces
  • the base resin R may be a resin that does not generate protons that move in the base resin R or between the base resins R. Alternatively, the base resin R may be an inorganic substance.
  • the acid generation reaction started from the excited state of the strong acid generator SPA differs depending on the type of beam source to be irradiated.
  • the base resin R may be decomposed by at least one of the pattern exposure L1 and the flood exposure L2 to generate an intermediate, a radical, and an ion.
  • the base resin R can be decomposed relatively easily.
  • the sensitizer precursor Pp generates a sensitizer.
  • the sensitizer precursor Pp includes 1,1-diphenyl-3- (2-naphthyl) propargyl alcohol, 1,1-diphenyl-3-phenylpropargyl alcohol, 1,1-diphenyl-3-parachlorophenylpropargyl alcohol.
  • 1,1-diphenyl-3-paramethylphenylpropargyl alcohol 1,1-diphenyl-3-paramethoxyphenylpropargyl alcohol, 1-phenyl-1-parachlorophenyl-3-phenylpropargyl alcohol, 1-phenyl-1- Paramethylphenyl-3-phenylpropargyl alcohol, 1-phenyl-1-paramethoxyphenyl-3-phenylpropargyl alcohol, 1,1-diphenyl-3- [4- (trifluoromethyl) phenyl] propargyl alcohol, and At least one may include selected from the group consisting of derivatives of any of these.
  • the structural formula of 1,1-diphenyl-3- (2-naphthyl) propargyl alcohol is shown below.
  • a derivative of 1,1-diphenyl-3- (2-naphthyl) propargyl alcohol is obtained by replacing the phenyl group and naphthyl group of the 1,1-diphenyl-3- (2-naphthyl) propargyl alcohol with another parachlorophenyl group, Compounds substituted with various aromatic molecules such as paramethoxyphenyl group and (trifluoromethyl) phenyl group may also be used.
  • the sensitizer precursor Pp may be mixed with the base resin R.
  • the sensitizer precursor Pp may be bonded to another component in the resist layer 10.
  • the sensitizer precursor Pp is bonded to the base resin R.
  • the resist layer 10 contains a radical generating component.
  • the radical generating component may be mixed in the base resin R.
  • the radical generating component may be combined with another component in the resist layer 10.
  • the radical generating component may be bonded to the base resin R, or may be bonded to the strong acid generator SPA.
  • Sensitizer Ps is generated from sensitizer precursor Pp by radicals generated from radical generating components in resist layer 10.
  • the sensitizer precursor Pp may be an alcohol sensitizer precursor.
  • the sensitizer precursor Pp may be a mixed type of an acetal type and an alcohol type.
  • the strong acid generator SPA may be, for example, an iodonium salt (R 2 IX) -based diphenyliodonium perfluorobutanesulfonic acid (DPI-PFBS) or a sulfonium salt (R 3 SX) -based triphenylsulfonium perfluorobutanesulfonic acid (TPS). -PFBS).
  • the strong acid generator SPA may be an iodonium salt such as PBpS-PFBS.
  • the strong acid generator SPA is preferably a bulky having a small diffusion coefficient, but the strong acid generator SPA may be bonded to the base resin R.
  • the strong acid generator SPA is preferably one that efficiently undergoes electron transfer from the sensitizer Ps in the excited state. Further, it is preferable that the concentration of the strong acid generator SPA is high and electron transfer is likely to occur.
  • the same compound may function as both the sensitizer precursor Pp and the strong acid generator SPA.
  • the base Ba contains a photodegradable base (Photodecomposable Base).
  • the photodegradable base may be referred to as a photodegradable quencher (PDQ).
  • the base comprises at least one selected from the group consisting of sulfonium acetate, iodonium acetate, salicylic acid sulfonium salt, salicylic acid iodonium salt, o-nitrobenzylcyclohexyl carbamate, and o-nitrobenzyl-n-octylcarbamate. It is preferable to include.
  • the base Ba may be mixed with the base resin R.
  • the base Ba may be bonded to another component in the resist layer 10.
  • the base Ba is bonded to the base resin R.
  • the base Ba preferably has a small diffusion coefficient.
  • pattern exposure L1 is performed on the resist layer 10.
  • the beam in the pattern exposure L1 irradiates the region 10a of the resist layer 10 and does not irradiate the region 10b of the resist layer 10.
  • the composition of the resist layer 10 is excited or ionized by the energy applied to the region 10a to generate an active state.
  • the sensitizer Ps is generated from the sensitizer precursor Pp of the resist layer 10.
  • the FIG. 4A shows the concentration distribution of the sensitizer Ps and the base Ba. The sensitizer Ps exists in the region 10a to which the energy of the pattern exposure L1 is applied, and the base Ba exists in the region 10b to which the energy of the pattern exposure L1 is not applied.
  • the reaction proceeds in the resist layer 10 as follows.
  • strong acid SAc is generated from the strong acid generator SPA.
  • the concentration distribution of the strong acid SAc substantially shows the beam intensity distribution of the pattern exposure L1.
  • the strong acid SAc generated in the region 10a reacts with the sensitizer precursor Pp to generate the sensitizer Ps as shown in the reaction formula (2) of FIG.
  • the concentration distribution of the sensitizer Ps generated due to the strong acid SAc is also sharpened.
  • the strong acid SAc reacts with the base Ba to produce a neutralized product Ne and a weak acid WAc.
  • the strong acid SAc reacts with the base Ba to neutralize, and the concentration distribution of the strong acid SAc becomes sharp.
  • acetic acid, propionic acid, cyclohexyl carboxylic acid, or salicylic acid is generated as weak acid WAc.
  • the diffusion coefficient of the weak acid WAc is preferably relatively small.
  • the weak acid WAc reacts with the sensitizer precursor Pp to generate the sensitizer Ps.
  • the weak acid WAc (compound HZ) is generated in addition to the strong acid generator SPA (AX) by the neutralization reaction of the strong acid SAc (compound HX) and the base Ba (compound AZ).
  • the weak acid WAc (compound HZ) causes an acid-catalyzed reaction of the sensitizer precursor Pp to generate the sensitizer Ps from the sensitizer precursor Pp.
  • the weak acid WAc (compound HZ) does not cause a chemically amplified resist reaction such as polarity conversion of the base resin R.
  • the environment around the resist layer 10 is preferably an atmosphere in which attenuation of acids and radicals involved in the generation of the sensitizer Ps can be controlled.
  • the atmosphere capable of controlling the decay of acids and radicals involved in the production of the sensitizer Ps may be an inert gas atmosphere or a vacuum atmosphere that does not contain a basic substance.
  • a top coat layer that blocks oxygen may be provided.
  • the environment around the resist layer 10 is an inert gas atmosphere, for example, nitrogen gas, helium gas, or argon gas is used as the inert gas.
  • the pressure may be under reduced pressure or under pressure.
  • the periphery of the resist layer 10 may be under vacuum, and the periphery of the resist layer 10 is preferably in a vacuum state with an atmospheric pressure of 1 Pa or less. In an environment of an inert gas atmosphere or a vacuum atmosphere, attenuation of acids and radicals involved in the generation of the sensitizer Ps in the resist layer 10 is suppressed.
  • the pattern exposure L1 is further subjected to base removal in an exposure apparatus installed in a clean room, like the chemically amplified resist mainly used in the current semiconductor mass production process. It is preferable to carry out in an atmosphere in which an acid filter is inserted and no acid deactivation occurs.
  • the sensitizer precursor Pp is of the alcohol type
  • the pattern exposure L1 is preferably performed in a vacuum or inert atmosphere without causing acid deactivation.
  • the beam for pattern exposure L1 for example, extreme ultraviolet (EUV), electron beam (EB), ArF excimer laser, KrF excimer laser, or the like is used. Further, a top coat layer that blocks basic substances and / or oxygen may be provided on the resist layer 10.
  • EUV extreme ultraviolet
  • EB electron beam
  • ArF excimer laser ArF excimer laser
  • KrF excimer laser KrF excimer laser
  • the resist layer 10 is subjected to flood exposure L2.
  • the flood exposure L2 energy is applied to the entire resist layer 10 in which the sensitizer Ps is generated.
  • the flood exposure L2 time is preferably within 1 minute, and more preferably within 30 seconds.
  • the sensitizer Ps transitions to an excited state.
  • the strong acid generator SPA generates strong acid SAc via the sensitizer Ps in the excited state.
  • the sensitizer Ps transitions to an excited state by the flood exposure L2.
  • a strong acid SAc is generated in the region 10a from the strong acid generator SPA via the sensitizer Ps.
  • strong acid SAc is generated from strong acid generator SPA via sensitizer Ps
  • strong acid generator SPA undergoes a dissociative electron addition reaction. Decomposing to newly produce strong acid SAc and sensitizer Ps before excitation.
  • the beam intensity of the flood exposure L2 is higher than the beam intensity of the pattern exposure L1, and the flood exposure L2 can be performed using a light source that is less expensive than the pattern exposure L1.
  • a beam having a longer wavelength than the beam of the pattern exposure L1 is used as the beam of the flood exposure L2.
  • the present invention is not limited to this, and a beam having a shorter wavelength than the beam of the pattern exposure L1 may be used as the beam of the flood exposure L2.
  • the resist layer 10 before the pattern exposure L1 has a wavelength band A that has no absorption at a shorter wavelength than the beam of the pattern exposure L1, while only the sensitizer Ps generated in the wavelength band A after the pattern exposure L1 absorbs.
  • the flood exposure L2 beam is preferably irradiated over the entire resist layer 10.
  • the beam of the flood exposure L2 may be applied to a part of the entire resist layer 10.
  • the flood exposure L2 may be performed in a short time by flash exposure.
  • the flood exposure L2 may be laser flash exposure.
  • the flood exposure L2 time is preferably within 1 minute for each area, and more preferably within 30 seconds.
  • a generally performed process may be further performed on the resist layer 10.
  • heat treatment Post Exposure Bake: PEB
  • the heat treatment may be, for example, pulse heat treatment.
  • An acid diffusion reaction is generated by the heat treatment.
  • the heat treatment is performed at 100 ° C. or higher and 110 ° C. or lower.
  • an alteration process for inverting the resist layer 10 between a positive type and a negative type may be performed.
  • the resist layer 10 is developed.
  • the region where the strong acid SAc is generated (the region where the latent image is formed) 10a is dissolved and removed in the developer.
  • the resist layer 10 having a pattern according to the pattern shape of the pattern exposure L1 can be formed.
  • the reaction for generating the sensitizer Ps from the sensitizer precursor Pp is performed at room temperature or lower.
  • it may be heated to a temperature slightly higher than room temperature in order to increase sensitivity at the expense of resolution.
  • a resist pattern can be formed on the resist layer 10.
  • the modification process may be performed in the process of using a rinse solution in the latter half of the development process.
  • silicon may be impregnated into the region 10a to which the energy of pattern exposure L1 is applied in the resist layer 10.
  • the region 10b may be removed without removing the region 10a.
  • the resist layer when a positive resist layer is formed, after performing pattern exposure and flood exposure, the resist layer is formed into a positive pattern by developing with an alkaline aqueous solution.
  • the resist layer may be formed in a negative pattern by developing with an organic solvent instead of the alkaline aqueous solution.
  • the resist material of this embodiment contains a resist composition having a base resin R, a sensitizer precursor Pp, a strong acid generator SPA, and a base Ba.
  • the sensitizer precursor Pp absorbs strongly against a beam having a wavelength different from the wavelength of the beam of the pattern exposure L1.
  • the sensitizer Ps shown is generated.
  • the sensitizer Ps is generated in a pattern shape in accordance with the beam irradiation of the pattern exposure L1.
  • the sensitizer Ps absorbs the beam of flood exposure L2, and the reaction is promoted due to the sensitizer Ps.
  • strong acid SAc is generated from strong acid generator SPA via sensitizer Ps, and a predetermined latent image pattern can be easily formed.
  • both the strong acid SAc and the weak acid WAc react with the sensitizer precursor Pp to form the sensitizer Ps. Generate.
  • the reaction such as resin polarity conversion, which is a resist reaction, occurs only with the strong acid SAc. This can solve the problem of photon shot noise that occurs when the resolution of the sensitized chemically amplified resist is increased.
  • the sensitizer Ps is generated in the region 10a of the resist layer 10 by the pattern exposure L1
  • the sensitizer Ps is excited by the flood exposure L2 to generate the strong acid SAc from the strong acid generator SPA.
  • a latent image having an appropriate pattern shape can be formed.
  • a latent image can be formed in the region 10a by irradiating the resist layer 10 with the UV beam as the beam of the flood exposure L2 after irradiating the region 10a of the resist layer 10 with the EUV beam as the pattern exposure L1 beam.
  • the irradiation time of the EUV beam can be shortened, and a high throughput can be obtained even if a low output light source is used.
  • the strong acid SAc is generated in the region 10a of the resist layer 10, while the base Ba is present in the entire resist layer 10. Therefore, before PEB, even in the region 10a, even at room temperature. Although a part of the strong acid SAc generated is reduced by neutralization with the base Ba, the strong acid SAc is present in the region 10a and the base Ba is present in the region 10b. The decrease in resolution due to the temperature rise caused by PEB and the diffusion of the strong acid SAc can be suppressed by the base Ba present in the region 10b.
  • the diffusion coefficient of strong acid SAc and base Ba at PEB temperature is small, the chemical gradient produced
  • the sensitivity, resolution, and line width roughness (LWR) trade-off can be eliminated, and the sensitivity of the resist layer 10 can be improved while maintaining the pattern resolution.
  • photon shot noise which has become a bigger issue than the trade-off in recent years, can be greatly improved.
  • the throughput of the exposure process can be improved, and the cost of the exposure system can be greatly reduced.
  • a low-output light source can be applied, the life of consumable parts in the light source device and the exposure device can be extended, and maintenance and operation costs can be greatly reduced.
  • the sensitivity, resolution, and line width roughness (LWR) trade-off can be eliminated to improve resist sensitivity, and LWR due to photon shot noise can be suppressed.
  • the resist layer 10 contains the base Ba
  • the resist layer 10 exhibits basicity, so that decomposition of the sensitizer precursor Pp can be suppressed, and EUV is used as the pattern exposure L1. In this case, it is possible to remove an extremely low concentration of acid generated in the region 10b by out-of-band light.
  • PHS resin polyhydroxystyrene resin
  • the sensitizer precursor Pp such as propargyl alcohol
  • Tg glass transition temperature
  • the sensitizer precursor Pp such as propargyl alcohol described above easily reacts with a weak acid.
  • an example of the reaction in the resist layer 10 is considered as follows.
  • the sensitizer Ps is excited and enters an excited state (Ps ⁇ Ps * ).
  • the excited state sensitizer Ps * reacts with the strong acid generator SPA to produce a strong acid SAc.
  • electron transfer occurs from the excited state sensitizer Ps * to the strong acid generator SPA, and the strong acid generator SPA receives electrons to generate X ⁇ , and as a result, the strong acid SAc (HX) is generated.
  • HX strong acid SAc
  • holes move from the cation radical (Ps ⁇ + ) of the sensitizer to the polymer of the base resin R and return to the sensitizer Ps.
  • protons are transferred from the cation radical (Ps ⁇ + ) of the sensitizer to the polymer of the base resin R.
  • the absorption spectrum after this reaction is not different from the original sensitizer Ps and the absorption spectrum, and it is preferable that the absorption spectrum of the sensitizer Ps does not change during the flood exposure L2.
  • the strong acid SAc reacts with the sensitizer precursor Pp to generate the sensitizer Ps by the pattern exposure L1.
  • the strong acid SAc may react with the sensitizer precursor Pp to newly generate the sensitizer Ps.
  • generation of the sensitizer Ps from the sensitizer precursor Pp proceeds in the pattern exposure L1, but does not proceed in the flood exposure L2.
  • the flood exposure L2 is preferably performed in a short time by flash exposure.
  • the sensitizer Ps is generated by the flood exposure L2
  • the unintended strong acid SAc may be generated by the new sensitizer Ps.
  • the reaction between the weak acid WAc and the sensitizer precursor Pp shown in the reaction formula (3b) of FIG. 2 does not proceed so much at room temperature, but may proceed in a heating environment.
  • the reaction to generate sensitizer Ps from weak acid WAc and sensitizer precursor Pp proceeds by heating after pattern exposure L1, it is preferable that strong acid SAc and weak acid WAc diffuse in PEB after pattern exposure L1. Absent.
  • the heating (PEB) temperature after pattern exposure L1 is preferably lower than the heating (PEB) temperature after flood exposure L2.
  • the resist composition in the resist layer 10 contains the base Ba, and the base Ba reacts with the strong acid SAc to produce the neutralized product Ne and the weak acid WAc. It is not limited.
  • the resist composition may contain a weak base weaker than the base Ba in addition to the base Ba. In this case, when the resist composition contains a weak base, the weak acid WAc generated by neutralization of the strong acid SAc and the base Ba can be prevented from diffusing outside from the edge portion of the pattern exposure L1.
  • the sensitizer precursor Pp may react with the strong acid SAc generated from the strong acid generator SPA by the pattern exposure L1 to generate the sensitizer Ps.
  • the sensitizer precursor Pp and the strong acid SAc react to generate the sensitizer Ps proceeds by the pattern exposure L1
  • the excited sensitizer Ps and the strong acid are processed by the flood exposure L2.
  • Process 2 in which the generator SPA reacts may proceed.
  • the sensitizer precursor Pp and the strong acid SAc react with each other by the pattern exposure L1 to generate the sensitizer Ps.
  • the strong acid SAc diffuses in the resist layer.
  • the sensitizer precursor Pp is present near the diffusing strong acid SAc, the strong acid SAc reacts with the sensitizer precursor Pp, and the strong acid SAc And the sensitizer Ps is produced
  • process 1 proceeds by diffusion of strong acid SAc.
  • the diffusion length varies greatly depending on the base concentration, the size of the acid molecule, the temperature, the glass transition temperature Tg of the resist, and the like. In general, the higher the temperature, the longer the diffusion length of the strong acid SAc.
  • the diffusion length of the strong acid SAc is relatively long.
  • the process 1 is a reaction accompanying the thermal diffusion of the strong acid SAc, and the reaction between the strong acid SAc and the sensitizer precursor Pp can occur even in a region away from the region where the strong acid SAc is generated.
  • the excited sensitizer Ps reacts with the strong acid generator SPA to generate strong acid SAc.
  • the process 2 is a photochemical reaction that causes electron transfer, energy transfer, or the like, and a three-dimensional and highly isotropic reaction occurs at a relatively short distance from the excited sensitizer Ps.
  • the roughness and photon shot noise in Process 1 and Process 2 are examined.
  • the reaction distance is preferably short in both process 1 and process 2.
  • variation in reaction distance tends to arise in the process 1 accompanying thermal diffusion.
  • the concentration of the strong acid SAc is relatively low, roughness due to photon shot noise accompanying diffusion is likely to occur in the reaction of Process 1.
  • the pattern exposure L1 is preferably performed in consideration of the temperature dependence of the diffusion of the strong acid SAc and the temperature dependence of the reaction that the sensitizer Ps generates from the strong acid SAc and the sensitizer precursor Pp.
  • the sensitizer Ps and the strong acid excited so that the strong acid SAc is efficiently generated in accordance with the electron transfer or energy transfer from the excited sensitizer Ps having a high three-dimensional isotropic property to the strong acid generator SPA. It is preferable to select the generator SPA and increase the concentration of the strong acid generator SPA. In addition, increasing the ratio of process 2 to process 1 is effective in reducing roughness due to roughness and photon shot noise.
  • the sensitizer precursor Pp reacts with the strong acid SAc generated from the strong acid generator SPA by the pattern exposure L1
  • the sensitizer precursor Pp is increased. It is preferable to have a sensitizing action not only as a reaction product for generating the sensitizer Ps but also for a reaction that generates the strong acid SAc from the strong acid generator SPA.
  • the sensitizer precursor Pp has a sensitizing action for a reaction for generating the sensitizer Ps from the sensitizer precursor Pp and / or a reaction for generating the strong acid SAc from the strong acid generator SPA. It is preferable to have a sensitizing action on.
  • the pattern exposure L1 and the flood exposure L2 are each performed once, but the present invention is not limited to this.
  • Each of the pattern exposure L1 and the flood exposure L2 may be performed a plurality of times.
  • the flood exposure L2 may be performed a plurality of times.
  • the strong acid SAc generated from the strong acid generator SPA by the pattern exposure L1 reacts with the sensitizer precursor Pp to generate the sensitizer Ps
  • the resist layer 10 before the pattern exposure L1 is
  • the base Ba for neutralizing the strong acid SAc was contained, the present invention is not limited to this.
  • the resist layer 10 generates a sensitizer Ps from the sensitizer precursor Pp via radicals generated by the pattern exposure L1, and the resist layer 10 before the pattern exposure L1 may contain a radical scavenging component Rk. .
  • the resist pattern forming method and the resist material according to the present embodiment will be described with reference to FIG.
  • the resist pattern forming method and resist material of the present embodiment are the same as those described above with reference to FIGS. 1 and 2 except that the resist layer 10 before the pattern exposure L1 contains the radical scavenging component Rk.
  • the method and the resist material are the same, and redundant description is omitted to avoid redundancy.
  • the sensitizer precursor Pp of the resist layer 10 is of an alcohol type, and the sensitizer Ps is generated from the sensitizer precursor Pp through radicals generated by the pattern exposure L1.
  • FIG. 5A to FIG. 5D are schematic views showing respective steps of the resist pattern forming method according to the present embodiment.
  • a resist layer 10 is formed on a substrate S as shown in FIG.
  • the resist layer 10 contains a base resin R, a sensitizer precursor Pp, a strong acid generator SPA, a base Ba, and a radical scavenging component Rk.
  • radical scavengers such as hindered phenols and radical inhibitors are used as the radical scavenger component Rk.
  • the radical scavenging component Rk may be mixed with the base resin R.
  • the radical scavenging component Rk may be bonded to another component in the resist layer 10.
  • the radical scavenging component Rk is bonded to the base resin R.
  • PHS resin polyhydroxystyrene resin
  • the PHS resin can function as a radical scavenger.
  • pattern exposure L1 is performed on the resist layer 10.
  • the beam in the pattern exposure L1 irradiates the region 10a of the resist layer 10 and does not irradiate the region 10b of the resist layer 10.
  • the strong acid generator SPA, the sensitizer precursor Pp, the base Ba, and the radical scavenging component Rk of the resist layer 10 have a substantially constant concentration regardless of the location. Note that the concentration of the radical scavenging component Rk is relatively low compared to the concentrations of the strong acid generator SPA and the sensitizer precursor Pp.
  • the sensitizer Ps is generated from the sensitizer precursor Pp via the radicals.
  • the resist layer 10 contains the radical scavenging component Rk, a part of the generated radical is captured by the radical scavenging component Rk. For this reason, the concentration distribution of the sensitizer Ps becomes sharper than when the resist layer 10 does not contain the radical scavenging component Rk.
  • the resist layer 10 is subjected to flood exposure L2. Since the concentration distribution of the sensitizer Ps formed by the pattern exposure L1 is sharp, the concentration distribution of the strong acid SAc generated from the strong acid generator SPA via the sensitizer Ps can be sharpened.
  • the resist layer 10 is developed.
  • a small amount of radical scavenging component Rk to the resist layer 10 in advance, contrast and resolution can be improved, and a small amount accompanying stray light or out-of-band light (Out Of Band) irradiation to the region 10b. Generation of acid can be suppressed and resist performance can be improved.
  • the resist layer 10 may contain a radical generating component separately from the strong acid generator SPA, but the strong acid generator SPA and the radical generating component may be the same component.
  • the strong acid SAc and the sensitizer Ps are generated by the flood exposure L2. Since this reaction includes a reaction accompanying a radical, as described above, the resist layer 10 preferably contains a radical scavenging component Rk.
  • the resist layer 10 may contain a radical inhibitor generator that generates a radical scavenging component by exposure (for example, flood exposure).
  • the resist layer 10 is exposed and is in direct contact with the outside air, but the present invention is not limited to this.
  • a top coat layer may be provided on the surface of the resist layer 10.
  • an underlayer may be provided between the resist layer 10 and the substrate S.
  • the resist pattern forming method of this embodiment is the same as that shown in FIGS. 1 to 5 except that a base layer U is further formed below the resist layer 10 and a topcoat layer T is further formed on the surface of the resist layer 10.
  • a base layer U is further formed below the resist layer 10
  • a topcoat layer T is further formed on the surface of the resist layer 10.
  • a base layer U is formed on a substrate S.
  • the underlayer is formed from, for example, a commercially available inorganic material or organic material.
  • the resist layer 10 contains a base resin R, a sensitizer precursor Pp, a strong acid generator SPA, and a base Ba.
  • a top coat layer T is formed on the surface of the resist layer 10.
  • the top coat layer T blocks the entry of basic substances and / or oxygen into the resist layer 10. It is preferable that the topcoat layer T transmits the beam of the pattern exposure L1 and the flood exposure L2 and blocks the beam of out-of-band light (Out of Band) as much as possible.
  • the top coat layer T does not penetrate a basic compound in order to prevent acid deactivation.
  • the topcoat layer T is a cross-linked polymer film that does not transmit oxygen, or oxygen such as hydroquinone or 3,5-dibutyl-4-hydroxytoluene. It is formed from a polymer film containing a reacting substance. The thickness of the topcoat layer T is determined according to the beam source of the pattern exposure L1.
  • the thickness of the topcoat layer T is preferably 20 nm or more and 50 nm or less.
  • the thickness of the top coat layer T depends on the energy of the EB, but is preferably 50 nm or less.
  • ArF or KrF is used as the beam source, the topcoat layer T is preferably transparent to the beam, and the thickness of the topcoat layer T may be 20 nm or more and 200 nm or less.
  • pattern exposure L1 is performed on the resist layer 10 through the topcoat layer T.
  • the sensitizer Ps is formed in the region 10a by the pattern exposure L1.
  • flood exposure L2 is performed on the resist layer 10 through the topcoat layer T. As described above, the flood exposure L2 forms strong acid SAc in the region 10a.
  • the resist layer 10 is developed.
  • the region where the strong acid SAc is generated (the region where the latent image is formed) 10a is dissolved and removed in the developer.
  • the resist layer 10 having a pattern according to the pattern shape of the pattern exposure L1 can be formed.
  • the top coat layer T on the resist layer 10 may be removed as necessary after the pattern exposure L1 or the flood exposure L2.
  • the top coat layer T is provided above the resist layer 10 and the underlayer U is provided below the resist layer 10, but the present invention is not limited to this.
  • the base layer U may be disposed below the resist layer 10 without providing the top coat layer T.
  • the topcoat layer T may be provided above the resist layer 10 without providing the base layer U.
  • the underlayer U preferably functions as an antireflection film for the beam of the flood exposure L2.
  • the optimum thickness of the underlayer U is determined by the wavelength of the flood exposure L2.
  • the base Ba exists in the resist layer 10 before the exposure of the pattern exposure L1, and the amount of the base Ba decreases with the generation of the strong acid SAc in the resist layer 10. Is not limited to this.
  • the resist layer 10 may contain a base generator PBG.
  • the resist pattern forming method and the resist material according to the present embodiment will be described with reference to FIGS.
  • the resist pattern forming method and resist material of this embodiment are the same as those described above with reference to FIGS. 1 to 6 except that the resist layer 10 before the pattern exposure L1 contains the base generator PBG.
  • the method and the resist material are the same, and redundant description is omitted to avoid redundancy.
  • a resist layer 10 is formed on a substrate S as shown in FIG.
  • the resist layer 10 contains a base resin R, a sensitizer precursor Pp, a strong acid generator SPA, and a base generator PBG.
  • the base generator PBG is more than 0 parts by mass and 40 parts by mass or less.
  • the base generator PBG may be non-ionic or ionic.
  • the nonionic base generator PBG is, for example, 9-anthrylmethyl-N, N-diethylcarbamate.
  • Examples of the ionic base generator PBG are cyclohexylammonium 2- (3-benzoylphenyl) propionate, dicyclohexylammonium 2- (3-benzoylphenyl) propionate, and the like.
  • the diffusion coefficient of the base Ba generated from the base generator PBG is preferably small.
  • pattern exposure L 1 is performed on the resist layer 10.
  • the beam in the pattern exposure L1 irradiates the region 10a of the resist layer 10 and does not irradiate the region 10b of the resist layer 10.
  • FIG. 8A shows the concentration distribution of the sensitizer Ps in the region 10a.
  • the resist layer 10 is subjected to flood exposure L2.
  • the flood exposure L2 energy is applied to the entire resist layer 10 in which the sensitizer Ps is generated.
  • the base generator PBG generates base Ba1 by flood exposure L2.
  • the base Ba1 may be the same as or different from the base Ba previously contained in the resist layer 10.
  • the base Ba1 is generated from the base generator PBG in the region 10b by the flood exposure L2.
  • the base Ba1 generated from the base generator PBG in the region 10a reacts with the strong acid SAc to reduce the concentration of the strong acid SAc.
  • the generation of the base Ba1 from the base generator PBG is performed by the flood exposure L2, but the present invention is not limited to this.
  • Generation of the base Ba1 from the base generator PBG may be performed not only by the flood exposure L2 but also by the pattern exposure L1.
  • the resist pattern forming method of this embodiment is the same as the resist pattern forming method and the resist material described above with reference to FIGS. 7 and 8 except that the flood exposure is performed twice, and overlaps to avoid redundancy. Description is omitted.
  • FIG. 9A to FIG. 9E are schematic views showing respective steps of the resist pattern forming method according to the present embodiment.
  • a resist layer 10 is formed on a substrate S as shown in FIG.
  • the resist layer 10 contains a base resin R, a sensitizer precursor Pp, a strong acid generator SPA, a base Ba, and a base generator PBG.
  • pattern exposure L 1 is performed on the resist layer 10.
  • the beam in the pattern exposure L1 irradiates the region 10a of the resist layer 10 and does not irradiate the region 10b of the resist layer 10.
  • the sensitizer Ps is generated from the sensitizer precursor Pp in the region 10a.
  • the resist layer 10 is subjected to the first flood exposure L2a.
  • strong acid SAc is generated from the strong acid generator SPA via the sensitizer Ps. If strong acid SAc is generated until strong acid generator SPA disappears, then strong acid SAc is not generated, and the peak concentration of strong acid SAc becomes substantially constant over region 10a. Eventually, the concentration distribution of the strong acid SAc changes very steeply at the boundary between the region 10a and the region 10b.
  • the resist layer 10 is subjected to the second flood exposure L2b.
  • the second flood exposure L2b the entire resist layer 10 is irradiated with a beam, whereby the base Ba1 is generated from the base generator PBG.
  • the base generator PBG Before performing the second flood exposure L2b, the base generator PBG is present almost uniformly in the resist layer 10. When the second flood exposure L2b starts, the base generator PBG decreases in the region 10b, and the base Ba1 is generated from the base generator PBG. In the region 10a, the base Ba1 is generated from the base generator PBG, but the base Ba1 generated in the region 10a is neutralized with the strong acid SAc to reduce the concentration of the strong acid SAc.
  • the base generator PBG further decreases in the region 10b, and the concentration of the base Ba1 generated from the base generator PBG increases.
  • the second flood exposure L2b is continued until the base generator PBG in the region 10b is used up.
  • the base Ba1 generated from the base generator PBG in the region 10a reacts with the strong acid SAc to reduce the concentration of the strong acid SAc.
  • the peak concentration of the strong acid SAc and the peak concentration of the sum of the base Ba and the base Ba1 are almost constant, and the concentration of the strong acid SAc and the concentration of the base Ba and the base Ba1 are very steep at the boundary between the region 10a and the region 10b, respectively.
  • the chemical gradient after PEB is also very large. Since the LWR due to photon shot noise is inversely proportional to the magnitude of the chemical gradient, the LWR due to photon shot noise is greatly improved.
  • the resist layer 10 is developed.
  • the region 10a where the strong acid SAc is generated is removed.
  • the resist layer 10 having a pattern according to the pattern shape of the pattern exposure L1 can be formed.
  • the second flood exposure L2b is continued until the base generator PBG in the region 10b is used up, but the present invention is not limited to this.
  • the second flood exposure L2b may not continue until the base generator PBG in the region 10b is used up.
  • reaction from the base generator PBG to the base Ba1 does not proceed in the first flood exposure L2a but proceeds in the second flood exposure L2b.
  • Such a reaction proceeds, for example, under the following conditions.
  • FIG. 10 shows a schematic spectrum of absorption wavelengths of the sensitizer precursor Pp, the sensitizer Ps, and the base generator PBG.
  • the absorption wavelength of the sensitizer Ps is longer than the absorption wavelength of the sensitizer precursor Pp, and the absorption wavelength of the base generator PBG is longer than the absorption wavelength of the sensitizer Ps.
  • the schematic spectrum of the absorption wavelength when performing flood exposure twice has been described, but the flood exposure may be performed three times or more. Further, as described above with reference to FIGS. 7 and 8, the flood exposure may be performed once.
  • the sensitizer precursor Pp may be an acetal type or an alcohol type.
  • the sensitizer precursor Pp may be a mixed type of an acetal type and an alcohol type.
  • the sensitizer precursor Pp is of the acetal type
  • the strong acid SAc generated from the strong acid generator SPA functions as a catalyst
  • the sensitizer Ps is generated from the sensitizer precursor Pp.
  • the resist layer 10 contains a radical generating component, and the sensitizer Ps is generated from the sensitizer precursor Pp through the generated radical.
  • the generation of the base Ba1 from the base generator PBG is performed by the second flood exposure L2b, but the present invention is not limited to this.
  • Generation of the base Ba1 from the base generator PBG may be performed not only by the second flood exposure L2b but also by the pattern exposure L1 and / or the first flood exposure L2a.
  • the first flood exposure L2a and the second flood exposure L2b are performed as the flood exposure, but the present invention is not limited to this.
  • a third flood exposure for generating strong acid SAc from the strong acid generator SPA via the sensitizer Ps may be performed.
  • the sensitizer Ps may be directly generated from the sensitizer precursor Pp by the pattern exposure L1.
  • the sensitizer precursor Ps having a different absorption wavelength or absorption coefficient may be generated by exciting or ionizing the sensitizer precursor Pp and converting the structure of the sensitizer precursor Pp by pattern exposure L1. Structural transformation is, for example, conjugation length change, decomposition or cis-trans isomerization.
  • the sensitizer Ps may be generated by the reaction of the electrons generated by the ionization of the contents in the resist layer 10 and the sensitizer precursor Pp by the pattern exposure L1.
  • the sensitizer precursor Pp may react with the strong acid SAc generated from the strong acid generator SPA to generate the sensitizer Ps by the pattern exposure L1.
  • the base generator PBG generates the base Ba1 by the flood exposure L2, but the present invention is not limited to this.
  • the base generator PBG may generate the base Ba1 by heat treatment.
  • pattern exposure and flood exposure in the resist pattern forming method described above are preferably performed in a resist latent image forming apparatus.
  • the latent resist image forming apparatus 200 will be described with reference to FIG.
  • the resist latent image forming apparatus 200 includes a pattern exposure device 210 and a flood exposure device 220.
  • the pattern exposure unit 210 performs pattern exposure on the resist layer 10 formed on the substrate S.
  • the resist layer 10 contains a base resin, a sensitizer precursor, a strong acid generator, and a base.
  • the resist layer 10 may be formed directly on the substrate S or may be formed on the substrate S via another layer.
  • a sensitizer is generated from the sensitizer precursor of the resist layer 10 by the pattern exposure L1 of the pattern exposure machine 210.
  • the flood exposure machine 220 performs flood exposure L2 on the resist layer 10 to form a pattern latent image.
  • an acid is generated from the strong acid generator via the sensitizer.
  • the pattern exposure machine 210 has a chamber 212 and a pattern light source 214.
  • the chamber 212 can store the resist layer 10 formed on the substrate S.
  • the inside of the chamber 212 is preferably an inert gas atmosphere, an active gas atmosphere, or a vacuum atmosphere.
  • the active gas atmosphere includes, for example, hydrogen gas whose partial pressure is controlled.
  • the chamber 212 is preferably capable of controlling the temperature of the substrate S accommodated in the range of ⁇ 10 ° C. to 100 ° C.
  • the pattern light source 214 irradiates the resist layer 10 in the chamber 212 with a pattern-shaped beam.
  • the beam of the pattern light source 214 is an electromagnetic wave such as visible light, UV, DUV, or EUV.
  • the beam of the pattern light source 214 may be an electron beam or an ion beam.
  • the pattern light source 214 includes an ion beam irradiation unit, an electron beam irradiation unit, or an electromagnetic wave irradiation unit.
  • the EUV wavelength is preferably 1 nm or more and 13.5 nm or less, and more preferably 6 nm or more and 13.5 nm or less.
  • the acceleration energy of the electron beam is preferably 10 keV or more and 300 keV or less, and more preferably 40 keV or more and 130 keV or less.
  • the substrate S is transported from the pattern exposure machine 210 to the flood exposure machine 220.
  • the inside of the resist latent image forming apparatus 200 is preferably an inert gas atmosphere, an active gas atmosphere, or a vacuum atmosphere.
  • the atmosphere is strictly controlled using a basic compound removal filter or the like so that acid deactivation does not occur between pattern exposure and PEB. Thereby, it can suppress that the activity of the resist layer 10 produced by the pattern exposure machine 210 attenuate
  • the chamber 222 is preferably capable of controlling the temperature of the substrate S accommodated in a range of ⁇ 10 ° C. to 100 ° C.
  • the flood exposure machine 220 has a chamber 222 and a flood light source 224.
  • the chamber 222 can store the resist layer 10 formed on the substrate S.
  • the inside of the chamber 222 is preferably an inert gas atmosphere, an active gas atmosphere, or a vacuum atmosphere.
  • the flood light source 224 irradiates the resist layer 10 in the chamber 222 with a flood exposure L2 beam to form a pattern latent image.
  • the beam of the flood exposure L2 is an electromagnetic wave such as visible light or UV.
  • the beam of flood exposure L ⁇ b> 2 is reflected by a mirror and introduced into the chamber 222.
  • the flood light source 224 includes an ion beam irradiation unit, an electron beam irradiation unit, or an electromagnetic wave irradiation unit.
  • the flood exposure machine 220 may further have a mechanism for making the beam into an area shape.
  • the flood exposure machine 220 has a projection lens system and a blocking mask.
  • the flood exposure machine 220 does not have a projection lens system and may have only a blocking mask. When only the blocking mask is provided, the configuration of the flood exposure machine 220 is simplified, which is preferable.
  • the flood light source 224 irradiates the beam over the area to form a predetermined pattern latent image on the resist layer 10.
  • the pattern light source 214 is a pattern irradiation source that irradiates a pattern with a beam
  • the flood light source 224 is an area irradiation source.
  • the resist layer 10 may be developed by a developing device (not shown). By development, a resist layer 10 having a predetermined pattern appears.
  • the resist latent image forming apparatus 200 further includes, as an example, a coater / developer (not shown here) in addition to the pattern exposure device 210 including the pattern light source 214 and the flood exposure device 220 including the flood light source 224. It is preferable.
  • the resist latent image forming apparatus 200 includes a coater / developer, the resist latent image forming apparatus 200 performs pattern formation of the resist layer 10 as follows. First, the coater / developer forms an underlayer on the substrate S by spin coating, and bake the underlayer.
  • the coater / developer coats the resist layer 10 on the underlayer and pre-bakes the resist layer 10. If necessary, another layer may be formed on the resist layer 10 by spin coating, and the layer may be baked.
  • the pattern light source 214 of the pattern exposure machine 210 irradiates the resist layer 10 with a beam.
  • the flood light source 224 of the flood exposure machine 220 irradiates the resist layer 10 with a beam. Thereby, a pattern latent image is formed on the resist layer 10.
  • the coater / developer performs post-baking. Thereafter, the coater / developer develops the resist layer 10. Thereby, the resist layer 10 having a predetermined pattern shape is formed. Next, the coater / developer rinses the resist layer 10 with pure water and performs post-baking (drying). As described above, a pattern can be formed on the resist layer 10.
  • the transport is performed under a predetermined inert gas atmosphere. It is preferable to carry out in a gas atmosphere or a vacuum atmosphere.
  • a stage having a temperature adjustment function is preferably used as the conveying member.
  • the coater / developer may be disposed in the chamber 212 of the pattern exposure machine 210 or may be disposed in the chamber 222 of the flood exposure machine 220. Furthermore, the coater / developer may be disposed in a common chamber with the pattern exposure machine 210 and the flood exposure machine 220.
  • the beam emitted from the pattern light source 214 is irradiated in the chamber 212 and the beam emitted from the flood light source 224 different from the pattern light source 214 is irradiated in the chamber 222.
  • the invention is not limited to this.
  • the substrate S is once taken out of the chamber 212 and transported to the chamber 222.
  • the present invention is not limited to this.
  • the substrate S may be transferred from the chamber 212 to the chamber 222 through a communication path that connects the chamber 212 and the chamber 222.
  • the pattern exposure machine 210 and the flood exposure machine 220 are provided with the chamber 212 and the chamber 222, respectively, but the present invention is not limited to this.
  • the chambers of the pattern exposure machine 210 and the flood exposure machine 220 may be the same.
  • the latent resist image forming apparatus 200 described above with reference to FIG. 11 includes one flood exposure machine 220, the present invention is not limited to this.
  • the resist latent image forming apparatus 200 may include a plurality of flood exposure machines that emit beams having different wavelengths, or a single flood exposure machine may emit a plurality of different beams.
  • the resist latent image forming apparatus 200 of this embodiment has the same configuration as that of the resist latent image forming apparatus described above with reference to FIG. 11 except that it includes two flood exposure machines, so as to avoid redundancy. The description which overlaps with is omitted.
  • the resist latent image forming apparatus 200 includes a pattern exposure unit 210, a first flood exposure unit 220a, and a second flood exposure unit 220b. After the pattern exposure machine 210 performs the pattern exposure L1 on the resist layer 10 formed on the substrate S, the first flood exposure machine 220a performs the first flood exposure L2a on the resist layer 10, and the second flood exposure machine 220b. Performs a second flood exposure L2b on the resist layer 10 to form a pattern latent image.
  • the pattern exposure machine 210 has a chamber 212 and a pattern light source 214.
  • the pattern light source 214 irradiates the resist layer 10 in the chamber 212 with a pattern-shaped beam.
  • the beam of the pattern light source 214 is an electromagnetic wave such as visible light, UV, DUV, or EUV.
  • the beam of the pattern light source 214 may be an electron beam or an ion beam.
  • the first flood exposure machine 220a has a chamber 222a and a first flood light source 224a.
  • the chamber 222a can store the resist layer 10 formed on the substrate S.
  • the inside of the chamber 222a is preferably an inert gas atmosphere, an active gas atmosphere, or a vacuum atmosphere.
  • the substrate S is transported from the pattern exposure machine 210 to the first flood exposure machine 220a. While the substrate S is transported from the pattern exposure machine 210 to the first flood exposure machine 220a, the inside of the resist latent image forming apparatus 200 is preferably an inert gas atmosphere, an active gas atmosphere, or a vacuum atmosphere.
  • the first flood light source 224a irradiates the resist layer 10 in the chamber 222a with the beam of the first flood exposure L2a.
  • the beam emitted from the first flood light source 224 a is irradiated over an area in the resist layer 10.
  • the beam of the first flood exposure L2a is, for example, an electromagnetic wave such as visible light or UV.
  • the beam of the first flood exposure L2a is reflected by a mirror and introduced into the chamber 222a.
  • the substrate S is transported from the first flood exposure machine 220a to the second flood exposure machine 220b. While the substrate S is transported from the first flood exposure machine 220a to the second flood exposure machine 220b, the inside of the resist latent image forming apparatus 200 is preferably an inert gas atmosphere, an active gas atmosphere, or a vacuum atmosphere.
  • the second flood exposure machine 220b has a chamber 222b and a second flood light source 224b.
  • the chamber 222b can store the resist layer 10 formed on the substrate S.
  • the inside of the chamber 222b is preferably an inert gas atmosphere, an active gas atmosphere, or a vacuum atmosphere.
  • the second flood light source 224b irradiates the resist layer 10 in the chamber 222b with the beam of the second flood exposure L2b to form a pattern latent image.
  • the beam emitted from the second flood light source 224 b is irradiated over an area in the resist layer 10.
  • the beam of the second flood exposure L2b is, for example, an electromagnetic wave such as visible light or UV.
  • the beam of the second flood exposure L 2 b is also reflected by the mirror and introduced into the chamber 222.
  • the wavelength of the beam emitted from the second flood light source 224b is preferably longer than the wavelength of the beam emitted from the first flood light source 224a. However, the wavelength of the beam emitted from the second flood light source 224b may be shorter than the wavelength of the beam emitted from the first flood light source 224a.
  • the resist layer 10 may be developed by a developing device (not shown). By development, a resist layer 10 having a predetermined pattern appears.
  • first flood exposure machine 220a and second flood exposure machine 220b perform different flood exposures, but the present invention is not limited to this. Both the first flood exposure L2a and the second flood exposure L2b may be performed by the same flood light source of the flood exposure machine.
  • the latent resist image forming apparatus 200 of this embodiment refers to FIG. 12 except that both the first flood exposure L2a and the second flood exposure L2b are performed by the same flood light source 224 in the flood exposure machine 220. Therefore, the redundant description is omitted to avoid redundancy.
  • the flood exposure machine 220 has a chamber 222 and a flood light source 224.
  • the chamber 222 can store the resist layer 10 formed on the substrate S.
  • the inside of the chamber 222 is preferably an inert gas atmosphere, an active gas atmosphere, or a vacuum atmosphere.
  • the flood light source 224 irradiates the resist layer 10 in the chamber 222 with a flood exposure L2 beam to form a pattern latent image.
  • the beam of the flood exposure L2 is an electromagnetic wave such as visible light or UV.
  • the flood exposure machine 220 performs the first flood exposure L2a and the second flood exposure L2b.
  • the flood light source 224 irradiates the resist layer 10 in the chamber 222 with the beam of the first flood exposure L2a.
  • the beam emitted from the flood light source 224 is irradiated over an area in the resist layer 10.
  • the flood light source 224 irradiates the resist layer 10 in the chamber 222 with the beam of the second flood exposure L2b. Also in this case, the beam emitted from the flood light source 224 is irradiated over an area in the resist layer 10.
  • the wavelength of the beam during the second flood exposure L2b is different from the wavelength of the beam during the first flood exposure L2a.
  • both the first flood exposure L2a and the second flood exposure L2b may be performed by the same flood light source 224 in the flood exposure machine 220.
  • the flood exposure is performed after the pattern exposure, but the present invention is not limited to this.
  • preliminary flood exposure may be performed.
  • the generation of the sensitizer Ps from the sensitizer precursor Pp may not be completed only by pattern exposure, and the sensitizer Ps from the sensitizer precursor Pp is generated after the pattern exposure.
  • Flood exposure may be performed.
  • the resist layer may be negative.
  • the base resin R is a poly (4-hydroxystyrene) -based polymer
  • the strong acid generator SPA is a sulfonium-based strong acid generator
  • the sensitizer precursor Pp is 1,1-diphenyl-3- (2-
  • a resist material comprising a base that produces a weak acid by reaction with naphthyl) propargyl alcohol and a strong acid was prepared.
  • a resist material was applied on the base film, and spin-coated at 1000 rpm for 120 seconds using a spin coater (manufactured by Mikasa Corporation). After spin coating, a heat treatment was performed at 100 ° C. for 1 minute to form a resist layer. After spin coating, the thickness of the resist layer measured using AFM (NanoNavi II / SPA-300HV manufactured by Hitachi High-Tech Science Co., Ltd.) was about 50 nm. Further, a top coat was formed on the resist layer.
  • the resist layer was irradiated with an acceleration voltage of 125 keV and an irradiation current of 50 pA using an electron beam exposure apparatus manufactured by Elionix (ELS-100T: equivalent to commercially available ELS-125F) as a pattern exposure machine.
  • ELS-100T equivalent to commercially available ELS-125F
  • An LED light source (365 nm, Iwasaki Electric Co., Ltd.) was used as a flood exposure machine.
  • the resist layer after the pattern exposure L1 was subjected to flood exposure L2 in the air under exposure conditions where the exposure intensity on the resist surface was 40 mW / cm 2 .
  • TMAH tetramethylammonium hydroxide
  • FIG. 14A, FIG. 14B, and FIG. 14C show SEM images of the resist layer that was subjected to flood exposure for 5 minutes after pattern exposure.
  • FIG. 14A shows a resist layer that is developed after exposure with an electron beam having an exposure amount of 160 ⁇ C / cm 2 in a 30 nm dot pattern and flood exposure for 5 minutes as pattern exposure.
  • FIG. 14B shows a resist layer that is developed after exposure with an electron beam having an exposure amount of 180 ⁇ C / cm 2 in a 30 nm dot pattern and flood exposure for 5 minutes as pattern exposure.
  • FIG. 14C shows a resist layer that was developed after exposure with an electron beam having an exposure amount of 200 ⁇ C / cm 2 in a 30 nm dot pattern and flood exposure for 5 minutes as pattern exposure.
  • FIG. 14A when the exposure amount of the electron beam was 160 ⁇ C / cm 2 , the exposure amount was slightly insufficient, but a dot pattern was formed. As shown in FIG. 14B, a sufficient dot pattern was formed when the exposure amount of the electron beam was 180 ⁇ C / cm 2 . As shown in FIG. 14C, when the exposure amount of the electron beam was 200 ⁇ C / cm 2 , the overexposure was slightly performed, but a dot pattern was formed.
  • the dot patterns appear to overlap in the vertical direction because they are not suitable for the measurement of a highly sensitive chemically amplified resist.
  • 100T equivalent to ELS-125F on the market
  • 100T is measured at a current value lower than the current value guaranteed, which is considered to be unstable and a leakage current is generated in the sweep direction (vertical direction). Since it is separated neatly in the horizontal direction, it is considered that a separated dot pattern is formed as the performance of the resist.
  • the resist layer was formed under the same process conditions such as pre-baking, PEB, and development, except that the same resist material as described above was not irradiated with LED light having a wavelength of 365 nm as flood exposure.
  • FIG. 15A, FIG. 15B, and FIG. 15C show SEM images of the resist layer developed after pattern exposure and without flood exposure.
  • FIG. 15A shows a resist layer that was developed without a flood exposure by exposing with a 30 nm dot pattern with an electron beam having an exposure amount of 240 ⁇ C / cm 2 as pattern exposure.
  • FIG. 15B shows a resist layer that was developed without exposure by flood exposure using an electron beam having an exposure amount of 300 ⁇ C / cm 2 with a 30 nm dot pattern as pattern exposure.
  • FIG. 15 (c) shows a resist layer that was developed without a flood exposure by exposing with a 30 nm dot pattern with an electron beam with an exposure amount of 360 ⁇ C / cm 2 as pattern exposure.
  • FIG. 15A when the exposure amount of the electron beam was 240 ⁇ C / cm 2 , a dot pattern with a slightly insufficient exposure amount was formed without performing flood exposure.
  • FIG. 15B when the exposure amount of the electron beam was 300 ⁇ C / cm 2 , a sufficient dot pattern was formed.
  • FIG. 15C when the exposure amount of the electron beam was 360 ⁇ C / cm 2 , the dot pattern was formed although the overexposure was slightly performed without performing the flood exposure.
  • the dot patterns seem to overlap in the vertical direction. As in FIG. 14C, this is based on the current value guaranteed by an electron beam exposure apparatus manufactured by Elionix (ELS-100T: equivalent to a commercially available ELS-125F) that is not suitable for the measurement of a highly sensitive chemically amplified resist. This is an event that occurs due to the occurrence of overlap because the current is unstable due to measurement at a low current value and leakage current is generated in the sweep direction (vertical direction). Therefore, it is considered that a separated dot pattern is formed as resist performance.
  • ELS-100T equivalent to a commercially available ELS-125F
  • 1,1-diphenyl-3- (2-naphthyl) propargyl alcohol is used together with a photodegradable base (PDB) as a sensitizer precursor in which the formation reaction of the sensitizer proceeds. It was shown that
  • 16 (a), 16 (b), and 16 (c) show a resist containing a base resin R, a strong acid generator SPA, a sensitizer precursor Pp, and a base that generates a weak acid by reaction with a strong acid.
  • the SEM image after performing pattern exposure or both pattern exposure and flood exposure with respect to a layer is shown.
  • the resist layer was formed in the same manner as described above.
  • the base resin R is a poly (4-hydroxystyrene) polymer
  • the strong acid generator SPA is a sulfonium strong acid generator
  • the sensitizer precursor Pp is 1,1-diphenyl-3- (2-naphthyl) propargyl.
  • a resist material comprising a base that generates a weak acid by reaction with alcohol and strong acid was prepared.
  • a resist material was applied on the base film, and spin-coated at 1000 rpm for 120 seconds using a spin coater (manufactured by Mikasa Corporation). After spin coating, a heat treatment was performed at 100 ° C. for 1 minute to form a resist layer. After spin coating, the thickness of the resist layer measured using AFM (NanoNavi II / SPA-300HV manufactured by Hitachi High-Tech Science Co., Ltd.) was about 50 nm. Further, a top coat was formed on the resist layer.
  • AFM NanoNavi II / SPA-300HV manufactured by Hitachi High-Tech Science Co., Ltd.
  • FIG. 16A shows a resist layer developed after pattern exposure is performed on the resist layer with an electron beam having an exposure amount of 500 ⁇ C / cm 2 .
  • FIG. 16 (b) the resist layer was subjected to pattern exposure with an electron beam exposure 430 ⁇ C / cm 2, showing a resist layer which is developed after the flood exposure at an exposure amount 2.4 J / cm 2.
  • FIG. 16 (c) the resist layer was subjected to pattern exposure with an electron beam exposure 360 ⁇ C / cm 2, showing a resist layer which is developed after the flood exposure at an exposure amount 4.8J / cm 2.
  • 17 (a), 17 (b), and 17 (c) perform pattern exposure or both pattern exposure and flood exposure on a resist layer containing the same components as FIG. 16 except for the base.
  • the SEM image after being shown is shown. This base does not react with a strong acid to form a weak acid.
  • FIG. 17A shows a resist layer developed after pattern exposure is performed on the resist layer with an electron beam having an exposure amount of 500 ⁇ C / cm 2 .
  • FIG. 17 (b) the resist layer was subjected to pattern exposure with an electron beam exposure 460 ⁇ C / cm 2, showing a resist layer which is developed after the flood exposure at an exposure amount 2.4 J / cm 2.
  • FIG. 17 (c) the resist layer was subjected to pattern exposure with an electron beam exposure 400 ⁇ C / cm 2, showing a resist layer which is developed after the flood exposure at an exposure amount 7.2 J / cm 2.
  • a high concentration of base is required.
  • the base reacts with a strong acid
  • the number of strong acids that react with the sensitizer precursor decreases. Accordingly, the generated sensitizer is also reduced.
  • a base reacts with a strong acid to form a weak acid
  • the number of acids obtained by adding the strong acid and the weak acid does not decrease.
  • 1,1-diphenyl-3- (2) which is a sensitizer precursor in which the weak acid formed reacts with the sensitizer precursor to produce a sensitizer.
  • -Since naphthyl) propargyl alcohol is used, the sensitivity of the resist could be improved.
  • FIGS. 16A to 16C the sensitizers produced are not reduced even when a high concentration of base is used, so that not only high sensitivity but also photon shot noise problems are improved.
  • FIGS. 17 (a) to 17 (c) when no weak acid is formed by the reaction of a strong acid and a base, the amount of sensitizer produced is small, so that the resist is more sensitive than FIG. could not be converted.
  • the exposure amount of the electron beam was 400 ⁇ C / cm 2 , a sufficient dot pattern could not be formed even if the exposure amount of the flood exposure was increased to 7.2 J / cm 2 .
  • the sensitizer Ps is efficiently generated in a narrow space by L1, and the strong acid SAc is generated by the flood exposure L2 while efficiently reducing the distribution roughness in the narrow space by using the sensitizer Ps.
  • the sensitizer precursor Pp is directly ionized or excited by pattern exposure L1.
  • the sensitizer Ps is preferably generated by decomposing and / or isomerizing the sensitizer precursor Pp.
  • strong acid SAc and / or radicals are generated from strong acid generator SPA, and strong acid SAc and / or radicals react with sensitizer precursor Pp to generate sensitizer Ps.
  • the strong acid SAc and / or radical is generated at a point several nm away from the ion product generated by irradiation of the pattern exposure L1.
  • the reaction between the strong acid SAc and / or radical and the sensitizer precursor Pp depends on the concentration of the sensitizer precursor Pp, the reaction distance is several nm. Therefore, the concentration distribution of the sensitizer Ps depends on the pattern exposure L1. Will be slightly wider than the beam intensity distribution.
  • the sensitizer Ps excited by the flood exposure L2 reacts with the strong acid generator SPA to generate a strong acid SAc and / or radical, and the strong acid SAc and / or radical becomes the sensitizer precursor. It reacts with Pp to produce sensitizer Ps.
  • the reaction in which the excited sensitizer Ps and the strong acid generator SPA react to generate a strong acid SAc and / or a radical is an electron transfer or energy transfer from the excited sensitizer Ps to the strong acid generator SPA, and is three-dimensional.
  • the strong acid SAc and / or radical is generated in a spherical shape centered on the excited sensitizer Ps because it starts with a nearly isotropic reaction that is strongly distance-dependent in space.
  • the reaction of generating the sensitizer Ps by the reaction between the generated strong acid SAc and / or radical and the sensitizer precursor Pp is caused by thermal diffusion / collision of the strong acid SAc and / or radical. Generate along a random diffusion orbit.
  • Sensitizer Ps and strong acid generator SPA excited so that an acid generation reaction by electron transfer or energy transfer reaction from excited sensitizer Ps having high three-dimensional isotropic property to strong acid generator SPA occurs efficiently. It is preferable to increase the concentration of the strong acid generator SPA. Further, it is possible to increase the ratio of the acid generation reaction by electron transfer and energy transfer reactions having higher three-dimensional isotropicity than the reaction along the random diffusion orbit of the strong acid SAc and / or the radical. This is effective in reducing the roughness.
  • the resist pattern forming method and resist material of the present invention are suitably used in an exposure process for forming a resist pattern on a substrate. According to the resist pattern forming method, resist latent image forming apparatus, and resist material of the present invention, the sensitivity of the resist layer can be improved.

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Engineering & Computer Science (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Materials For Photolithography (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)

Abstract

本発明によるレジストパターン形成方法は、レジスト層形成ステップと、パターン露光ステップと、フラッド露光ステップと、現像ステップとを含有する。レジスト層形成ステップは、基板に、レジスト層を形成する。パターン露光ステップは、レジスト層の感体前駆体から増感体を生成する。フラッド露光ステップは、増感体の生成されたレジスト層にフラッド露光を行い、強酸発生剤から酸を発生させる。現像ステップは、レジスト層を現像する。パターン露光ステップは、強酸発生剤から強酸を発生させ、強酸と増感体前駆体との反応によって増感体を生成し、強酸と塩基との反応によって弱酸を生成し、弱酸と増感体前駆体との反応によって増感体を生成する。

Description

レジストパターン形成方法およびレジスト材料
 本発明は、レジストパターン形成方法およびレジスト材料に関する。
 半導体デバイスの高集積化および高速度化を図るために半導体デバイスの微細化が年々進んでおり、半導体デバイスのフォトリソグラフィ工程において、より微細なパターンが求められている。微細なパターンを実現するための手法として、主に露光源の短波長化が検討されている。例えば、極端紫外光(EUV、波長:13.5nm)は、次世代半導体デバイスの製造に有望な技術として注目されており、現在開発が進められている。
 しかし、量産適用に必要な露光装置に搭載された光源の出力(250W)を有する光源装置の開発は困難であり、パターン潜像を形成するためには露光を長時間行うことが必要となる。また、電子線(EB)を用いた電子線直接描画法では、ビーム径が小さいことから高寸法精度で微細なパターンを形成することができる反面、複雑で大面積のパターンを形成する場合、描画に時間がかかる。このように、極端紫外光および電子線を用いた露光技術では、微細なパターンを形成できるものの、スループットが低いという問題があった。
 光源強度が足りないという問題を解決すべく、露光時間をできるだけ減らすように、レジスト材料の高感度化が進められている。例えば、特許文献1に開示されているレジスト組成物では、特定の樹脂および化合物を含む組成によって、レジストの感度および解像度の向上を図っている。
特開2002-174894号公報
 しかしながら、感度、解像度および線幅ラフネス(LWR)というレジストの重要な3つの性能の間にはトレードオフの関係があるため、単純に、レジストの高感度化を行うと、解像度および線幅ラフネスが低下するという問題が生じる。このため、従来の手法では、解像度および線幅ラフネスを低下させずにレジストの感度を向上させることには限界があり、スループットが低いという問題を十分に解決することができなかった。さらに、これまでの最大の課題であったトレードオフ問題以上に重要な課題としてフォトンショットノイズによるパターンのラフネスが近年問題視されているが、光源の高出力化や露光光の高吸収化レジストの開発などの以外に、今まで解決策は見出されていなかった。
 本発明は、上記課題に鑑みてなされたものであり、その目的は、感度、解像度および線幅ラフネス(LWR)のトレードオフを解消してレジスト層の感度を向上させるとともにフォトンショットノイズによるラフネスを抑制可能なレジストパターン形成方法およびレジスト材料を提供することにある。
 本発明によるレジストパターン形成方法は、基板に、ベース樹脂、増感体前駆体、強酸発生剤および塩基を含有するレジスト層を形成するレジスト層形成ステップと、前記レジスト層にパターン露光を行うことによって、前記増感体前駆体から増感体を生成するパターン露光ステップと、前記パターン露光ステップの後、前記増感体の生成された前記レジスト層にフラッド露光を行い、前記強酸発生剤から酸を発生させるフラッド露光ステップと、前記フラッド露光ステップの後、前記レジスト層を現像する現像ステップとを含有する。前記パターン露光ステップは、前記強酸発生剤から強酸を発生させるステップと、前記強酸と前記増感体前駆体との反応によって増感体を生成するステップと、前記強酸と前記塩基との反応によって弱酸を生成し、前記弱酸と前記増感体前駆体との反応によって増感体を生成するステップとを含む。
 ある実施形態では、前記レジスト層形成ステップにおいて、前記増感体前駆体は、1,1-ジフェニル-3-(2-ナフチル)プロパルギルアルコール、1,1-ジフェニル-3-フェニルプロパルギルアルコール、1,1-ジフェニル-3-パラクロロフェニルプロパルギルアルコール、1,1-ジフェニル-3-パラメチルフェニルプロパルギルアルコール、1,1-ジフェニル-3-パラメトキシフェニルプロパルギルアルコール、1-フェニル-1-パラクロロフェニル-3-フェニルプロパルギルアルコール、1-フェニル-1-パラメチルフェニル-3-フェニルプロパルギルアルコール、1-フェニル-1-パラメトキシフェニル-3-フェニルプロパルギルアルコール、1,1-ジフェニル-3-[4-(トリフルオロメチル)フェニル]プロパルギルアルコール、および、これらのいずれかの誘導体からなる群から選択された少なくとも1つを含む。
 ある実施形態では、前記レジスト層形成ステップにおいて、前記塩基は、光分解性塩基を含む。
 ある実施形態では、前記レジスト層形成ステップにおいて、前記レジスト層は塩基発生剤をさらに含有する。
 ある実施形態では、前記フラッド露光ステップは、前記増感体を励起させ、前記励起した増感体と前記強酸発生剤との反応から前記酸を発生させる第1フラッド露光を行う第1フラッド露光ステップと、前記塩基発生剤から塩基を発生させる第2フラッド露光を行う第2フラッド露光ステップとを含む。
 ある実施形態では、前記レジストパターン形成方法は、前記フラッド露光ステップの後、前記レジスト層をポジ型とネガ型との間で反転させる変質処理を行う変質ステップをさらに包含する。
 ある実施形態では、前記レジストパターン形成方法は、前記レジスト層と前記基板との間に位置する下地層を形成する下地層形成ステップをさらに包含する。
 ある実施形態では、前記レジストパターン形成方法は、前記レジスト層の上にトップコートを形成するトップコート形成ステップをさらに包含する。
 ある実施形態では、前記フラッド露光ステップにおいて、前記フラッド露光の時間は1分間以内である。
 ある実施形態では、前記フラッド露光ステップにおいて、前記フラッド露光中に前記増感体の吸収スペクトルは変化しない。
 本発明によるレジスト材料は、ベース樹脂、増感体前駆体、強酸発生剤および塩基を含有するレジスト組成物を含む。前記増感体前駆体は、1,1-ジフェニル-3-(2-ナフチル)プロパルギルアルコール、1,1-ジフェニル-3-フェニルプロパルギルアルコール、1,1-ジフェニル-3-パラクロロフェニルプロパルギルアルコール、1,1-ジフェニル-3-パラメチルフェニルプロパルギルアルコール、1,1-ジフェニル-3-パラメトキシフェニルプロパルギルアルコール、1-フェニル-1-パラクロロフェニル-3-フェニルプロパルギルアルコール、1-フェニル-1-パラメチルフェニル-3-フェニルプロパルギルアルコール、1-フェニル-1-パラメトキシフェニル-3-フェニルプロパルギルアルコール、1,1-ジフェニル-3-[4-(トリフルオロメチル)フェニル]プロパルギルアルコール、および、これらのいずれかの誘導体からなる群から選択された少なくとも1つを含む。前記強酸発生剤から発生する強酸と前記塩基との反応によって生成される弱酸と前記増感体前駆体との反応によって増感体が生成される。
 ある実施形態において、前記塩基は光分解性塩基を含む。
 ある実施形態において、前記レジスト組成物は塩基発生剤をさらに含有する。
 本発明によれば、感度、解像度および線幅ラフネス(LWR)のトレードオフを解消してレジスト層の感度を向上させるとともにフォトンショットノイズによるラフネスを抑制することができる。
(a)~(d)は、本発明によるレジストパターン形成方法の実施形態の各工程を示す模式図である。 パターン露光時にレジスト層内において生じる主な反応式を示す図である。 フラッド露光時にレジスト層内において生じる主な反応式を示す図である。 (a)および(b)は、パターン露光後およびフラッド露光後のレジスト層内の濃度分布をそれぞれ示す模式図である。 (a)~(d)は、本実施形態によるレジストパターン形成方法の各工程を示す模式図である。 (a)~(d)は、本実施形態によるレジストパターン形成方法の各工程を示す模式図である。 (a)~(d)は、本発明によるレジストパターン形成方法の実施形態の各工程を示す模式図である。 (a)および(b)は、パターン露光時およびフラッド露光時のレジスト層内の濃度分布をそれぞれ示す模式図である。 (a)~(e)は、本実施形態によるレジストパターン形成方法の各工程を示す模式図である。 本実施形態において、増感体前駆体、増感体および塩基発生剤の吸収波長の模式的なスペクトルを示す。 本実施形態によるレジストパターン形成方法の実施に好適なレジスト潜像形成装置の模式図である。 本実施形態によるレジストパターン形成方法の実施に好適なレジスト潜像形成装置の模式図である。 本実施形態によるレジストパターン形成方法の実施に好適なレジスト潜像形成装置の模式図である。 (a)~(c)は実施例のレジスト層のSEM像を示す図である。 (a)~(c)は実施例のレジスト層のSEM像を示す図である。 (a)~(c)は実施例のレジスト層のSEM像を示す図である。 (a)~(c)は参考例のレジスト層のSEM像を示す図である。
 以下、図面を参照して本発明によるレジストパターン形成方法およびレジスト材料の実施形態を説明する。ただし、本発明は以下の実施形態に限定されない。
 まず、図1~図4を参照して、本発明によるレジストパターン形成方法およびレジスト材料の実施形態を説明する。なお、レジスト材料から構成されるレジスト層の種類には、露光部分が現像液において溶解するポジ型と露光部分が現像液において溶解しないネガ型とがあるが、以下の説明では、一例として、ポジ型のレジスト層を説明する。レジスト層は、露光によって酸を発生させる強酸発生剤と酸の作用によって現像液での溶解性が変化する基材(ベース樹脂)を含有する化学増幅型であってもよい。
 図1(a)~図1(d)のそれぞれは、本発明によるレジストパターン形成方法の実施形態の各工程を示す模式図であり、図2は、パターン露光時にレジスト層内において生じる主な反応式を示しており、図3は、フラッド露光時にレジスト層内において生じる主な反応式を示している。また、図4(a)および図4(b)は、パターン露光後およびフラッド露光後のレジスト層内の濃度分布をそれぞれ示す模式図である。
 まず、図1(a)に示すように、基板S上にレジスト層10を形成する。例えば、レジスト層10は、用意した基板S(例えばウェハー)上に、溶液に溶解させたレジスト材料を塗布してプリベークを行うことによって形成される。典型的には、基板Sの表面に、フォトリソグラフィの対象物(例えば、半導体層または絶縁層)が形成されている。
 レジスト層10は、ベース樹脂R、増感体前駆体Pp、強酸発生剤SPA(Strong Photo Acid Generator)および塩基Baを含有している。なお、レジスト層10は、基板S上に直接形成されてもよく、あるいは、基板S上に設けられた下地層の上に形成されてもよい。レジスト層10において、強酸発生剤SPA、増感体前駆体Ppおよび塩基Baは場所によらずほぼ一定の濃度を有している。
 レジスト層10中において、例えば、100質量部のベース樹脂Rに対して、増感体前駆体Ppは0.1質量部以上40質量部以下であり、強酸発生剤SPAは0.1質量部以上40質量部以下であり、塩基Baは0質量部よりも多く40質量部以下である。
 ベース樹脂Rは、例えば、メチルメタクリレート系高分子(以下「MMA樹脂」と記載することもある)である。後述するパターン露光L1およびフラッド露光L2の少なくとも一方に起因する化学反応には、中間体、ラジカルおよびイオン(カチオンまたはアニオン)等が関与するが、MMA樹脂は、中間体、ラジカルおよびイオンを消失させにくい。ただし、ベース樹脂Rは、ポリヒドロキシスチレン樹脂(PHS樹脂)を含むものであってもよい。あるいは、ベース樹脂Rは、MMA樹脂およびPHS樹脂の混合型であってもよい。
 また、ベース樹脂Rは、フェノール樹脂またはアセタール型等の保護基を有する種々の樹脂でもよい。EUV露光またはEB露光の場合、プロトンは、主としてベース樹脂Rから発生して、ベース樹脂R中もしくはベース樹脂R間を移動し、強酸発生剤SPAの解離によって生成したアニオンと反応して酸を生成する。ベース樹脂Rは、高分子化合物だけでなく低分子化合物を含むものであってもよいが、低分子化合物から発生したプロトンが、ベース樹脂間を移動し、強酸発生剤SPAの解離によって生成したアニオンと反応して強酸を生成することが好ましい。さらに、ベース樹脂Rは、ベース樹脂R中もしくはベース樹脂R間を移動するプロトンを発生させない樹脂でもよい。あるいは、ベース樹脂Rは無機物でもよい。
 なお、EUVまたはEBのビームを照射する場合、レジスト層10では放射線化学反応が生じる一方で、ArFレーザまたはKrFレーザのビームを照射した場合、レジスト層10では光化学反応が生じる。このように、照射するビーム源の種類に応じて、強酸発生剤SPAの励起状態から開始される酸生成反応は異なる。
 なお、ベース樹脂Rは、パターン露光L1およびフラッド露光L2の少なくとも一方によって分解され、中間体、ラジカルおよびイオンを生成してもよい。特に、パターン露光L1のビームとして電子線またはEUVビームを用いる場合、ベース樹脂Rは比較的容易に分解できる。
 増感体前駆体Ppは、増感体を生成する。例えば、増感体前駆体Ppは、1,1-ジフェニル-3-(2-ナフチル)プロパルギルアルコール、1,1-ジフェニル-3-フェニルプロパルギルアルコール、1,1-ジフェニル-3-パラクロロフェニルプロパルギルアルコール、1,1-ジフェニル-3-パラメチルフェニルプロパルギルアルコール、1,1-ジフェニル-3-パラメトキシフェニルプロパルギルアルコール、1-フェニル-1-パラクロロフェニル-3-フェニルプロパルギルアルコール、1-フェニル-1-パラメチルフェニル-3-フェニルプロパルギルアルコール、1-フェニル-1-パラメトキシフェニル-3-フェニルプロパルギルアルコール、1,1-ジフェニル-3-[4-(トリフルオロメチル)フェニル]プロパルギルアルコール、および、これらのいずれかの誘導体からなる群から選択された少なくとも1つを含んでもよい。1,1-ジフェニル-3-(2-ナフチル)プロパルギルアルコールの構造式を下記に示す。
Figure JPOXMLDOC01-appb-C000001
 例えば、1,1-ジフェニル-3-(2-ナフチル)プロパルギルアルコールの誘導体は、上記1,1-ジフェニル-3-(2-ナフチル)プロパルギルアルコールのフェニル基とナフチル基を他のパラクロロフェニル基、パラメトキシフェニル基、(トリフルオロメチル)フェニル基などの種々の芳香族分子に置換した化合物であってもよい。
 増感体前駆体Ppはベース樹脂Rに混合されていてもよい。あるいは、増感体前駆体Ppはレジスト層10内の別の成分に結合されてもよい。例えば、増感体前駆体Ppは、ベース樹脂Rに結合されている。
 増感体前駆体Ppがアルコール型である場合、レジスト層10はラジカル発生成分を含有している。ラジカル発生成分は、ベース樹脂Rに混合されていてもよい。あるいは、ラジカル発生成分はレジスト層10内の別の成分に結合されてもよい。例えば、ラジカル発生成分は、ベース樹脂Rに結合されていてもよく、あるいは、強酸発生剤SPAに結合されていてもよい。
 レジスト層10内においてラジカル発生成分から発生したラジカルにより、増感体前駆体Ppから増感体Psが生成される。例えば、増感体前駆体Ppは、アルコール型増感体前駆体であってもよい。あるいは、増感体前駆体Ppは、アセタール型およびアルコール型の混合型であってもよい。
 強酸発生剤SPAは、例えば、ヨードニウム塩(R2IX)系のジフェニルヨードニウムパーフルオロブタンスルホン酸(DPI-PFBS)でも、スルフォニウム塩(R3SX)系のトリフェニルスルホニウムパーフルオロブタンスルホン酸(TPS-PFBS)でもよい。また、強酸発生剤SPAは、PBpS-PFBSのようなヨードニウム塩でもよい。
 なお、強酸発生剤SPAは、拡散係数の小さいバルキーであることが好ましいが、強酸発生剤SPAはベース樹脂Rに結合されていてもよい。強酸発生剤SPAは励起状態の増感体Psから効率よく電子移動を受けるものが好ましい。また、強酸発生剤SPAの濃度が高く、電子移動が起きやすいことが好ましい。なお、同じ化合物が増感体前駆体Ppおよび強酸発生剤SPAの両方として機能してもよい。
 塩基Baは、光分解性塩基(Photodedecomposable Base)を含むことが好ましい。なお、光分解性塩基は、光分解性クエンチャー(PDQ)と呼ばれることもある。例えば、塩基は、酢酸スルホニウム塩、酢酸ヨードニウム塩、サリチル酸スルホニウム塩、サリチル酸ヨードニウム塩、o-ニトロベンジルシクロヘキシルカルバメート、および、o-ニトロベンジル-n-オクチルカルバメートからなる群から選択された少なくとも1つを含むことが好ましい。
 例えば、塩基Baはベース樹脂Rに混合されていてもよい。あるいは、塩基Baはレジスト層10内の別の成分に結合されてもよい。例えば、塩基Baは、ベース樹脂Rに結合されている。塩基Baは、小さい拡散係数を有することが好ましい。
 次に、図1(b)に示すように、レジスト層10にパターン露光L1を行う。パターン露光L1におけるビームは、レジスト層10の領域10aを照射し、レジスト層10の領域10bを照射しない。
 パターン露光L1によって、レジスト層10の領域10aにエネルギーが付与される。高解像度を実現するためにパターン露光L1のパターンが微細な場合、エネルギーの強度分布はサイン波で近似されることがある。領域10aに付与されたエネルギーにより、レジスト層10内の組成が励起またはイオン化されて活性状態が生成され、最終的には、レジスト層10の増感体前駆体Ppから増感体Psが生成される。図4(a)に、増感体Psおよび塩基Baの濃度分布を示す。増感体Psは、パターン露光L1のエネルギーの付与された領域10aに存在し、塩基Baは、パターン露光L1のエネルギーの付与されなかった領域10bに存在する。
 具体的には、レジスト層10にパターン露光L1が行われると、レジスト層10において以下のように反応が進む。まず、図2の反応式(1)に示すように、強酸発生剤SPAから強酸SAcが発生する。強酸SAcの濃度分布は、ほぼパターン露光L1のビーム強度分布を示す。
 領域10aにおいて発生した強酸SAcは、図2の反応式(2)に示すように、増感体前駆体Ppと反応して増感体Psを生成する。なお、強酸SAcに起因して生成される増感体Psの濃度分布もシャープになる。
 また、強酸SAcは、図2の反応式(3a)に示すように、塩基Baと反応して中和物Neおよび弱酸WAcを生成する。このように、レジスト層10は、塩基Baを含有しているため、強酸SAcは塩基Baと反応して中和し、強酸SAcの濃度分布がシャープになる。例えば、弱酸WAcとして、酢酸、プロピオン酸、シクロへキシルカルボン酸、または、サリチル酸が生成される。なお、弱酸WAcの拡散係数は比較的小さいことが好ましい。
 また、図2の反応式(3b)に示すように、弱酸WAcは増感体前駆体Ppと反応して増感体Psを生成する。
 このように、強酸SAc(化合物HX)および塩基Ba(化合物AZ)の中和反応によって強酸発生剤SPA(AX)以外に弱酸WAc(化合物HZ)が生成する。弱酸WAc(化合物HZ)は、増感体前駆体Ppの酸触媒反応を起こし、増感体前駆体Ppから増感体Psを生成する。ただし、強酸SAc(化合物HX)とは異なり、弱酸WAc(化合物HZ)は、ベース樹脂Rの極性変換等の化学増幅型レジスト反応を起こすものではない。
 なお、例えば、レジスト層10周辺の環境は、増感体Psの生成に関与する酸やラジカルの減衰を制御できる雰囲気であることが好ましい。増感体Psの生成に関与する酸やラジカルの減衰を制御できる雰囲気は、塩基性物質を含まない不活性ガス雰囲気または真空雰囲気であってもよく、レジスト層10の上に塩基性物質および/または酸素を遮断するトップコート層が設けられてもよい。レジスト層10周辺の環境を不活性ガス雰囲気にする場合、不活性ガスとして、例えば窒素ガス、ヘリウムガス、アルゴンガスが用いられる。この場合、圧力は、減圧下であってもよく、または、加圧下であってもよい。また、レジスト層10周辺の環境を真空雰囲気にする場合、レジスト層10の周辺が真空下であればよく、レジスト層10の周辺が気圧1Pa以下の真空状態であることが好ましい。不活性ガス雰囲気または真空雰囲気の環境中では、レジスト層10内で増感体Psの生成に関与する酸やラジカルの減衰が抑制される。
 増感体前駆体Ppがアセタール型の場合、パターン露光L1は、現在の半導体量産プロセスで主に用いられている化学増幅レジストと同様に、クリーンルーム中に設置された露光装置の中にさらに塩基除去用フィルターを挿入して酸の失活が起きない雰囲気下で行うことが好ましい。また、増感体前駆体Ppがアルコール型の場合、パターン露光L1は、酸の失活が起きず、かつ、真空または不活性の雰囲気下で行われることが好ましい。
 パターン露光L1のビームとして、例えば、極端紫外線(EUV)、電子線(EB)またはArFエキシマーレーザ、KrFエキシマーレーザ等が用いられる。また、レジスト層10の上に塩基性物質および/または酸素を遮断するトップコート層が設けられてもよい。
 その後、図1(c)に示すように、レジスト層10にフラッド露光L2を行う。フラッド露光L2によって、増感体Psの生成されたレジスト層10の全体にエネルギーが付与される。例えば、フラッド露光L2の時間は、1分間以内であることが好ましく、30秒間以内であることがさらに好ましい。
 フラッド露光L2が行われると、増感体Psが励起状態に遷移する。図3に示すように、強酸発生剤SPAは励起状態の増感体Psを介して強酸SAcを発生させる。
 このように、フラッド露光L2においてエネルギーが付与されると、図4(b)に示すように、領域10aにおいて強酸発生剤SPAから強酸SAcが発生する。なお、増感体Psの生成されていない領域10bにフラッド露光L2のビームが照射されても、領域10bにおける強酸発生剤SPAおよび増感体前駆体Ppは実質的に反応しない。上述したように、パターン露光L1によって形成された増感体Psの濃度分布がシャープであるため、増感体Psを介して強酸発生剤SPAから発生する強酸SAcの濃度分布をシャープにできる。
 例えば、フラッド露光L2により、増感体Psは励起状態に遷移する。増感体Psを介して強酸発生剤SPAから強酸SAcが領域10aにおいて発生する。増感体Psを介して強酸発生剤SPAから強酸SAcが発生する場合、増感体Psの励起状態の電子が強酸発生剤SPAに移動すると、強酸発生剤SPAは解離型電子付加反応を起こして分解し、強酸SAcと励起前の増感体Psを新たに生成する。
 増感体Psおよび強酸発生剤SPAの存在する領域10aにフラッド露光L2を続けると、強酸発生剤SPAおよび増感体前駆体Ppがほぼ消失するまで強酸SAcおよび増感体Psが生成される。
 典型的には、フラッド露光L2のビーム強度はパターン露光L1のビーム強度よりも高く、フラッド露光L2はパターン露光L1よりも安価な光源を用いて実行可能である。また、典型的には、フラッド露光L2のビームとしてパターン露光L1のビームよりも長波長のビームが用いられる。ただし、本発明はこれに限定されず、フラッド露光L2のビームとしてパターン露光L1のビームよりも短波長のビームが用いられてもよい。例えば、パターン露光L1前のレジスト層10がパターン露光L1のビームよりも短波長に吸収を有しない波長帯域Aを持つ一方、波長帯域Aにパターン露光L1後に生成される増感体Psのみが吸収を有する波長のフラッド露光L2のビームが用いられる場合などが挙げられる。レジスト層10がポジ型の場合、レジスト層10の領域10aを除去可能な潜像が形成される。
 なお、フラッド露光L2のビームはレジスト層10の全体にわたって照射されることが好ましい。ただし、フラッド露光L2のビームはレジスト層10の全体に対して一部のエリアにわたって照射されてもよい。また、フラッド露光L2は、フラッシュ露光で短期間に行われてもよい。例えば、フラッド露光L2は、レーザーフラッシュ露光でもよい。例えば、フラッド露光L2の時間は、エリアごとに1分間以内であることが好ましく、30秒間以内であることがさらに好ましい。
 フラッド露光L2を行った後、さらに、一般的に行われる処理をレジスト層10に行ってもよい。例えば、フラッド露光L2の後に、熱処理(Post Exposure Bake:PEB)を行ってもよい。熱処理は、例えばパルス熱処理であってもよい。熱処理により、酸拡散反応が発生する。例えば、熱処理は100℃以上110℃以下で行われる。また、フラッド露光L2後、レジスト層10をポジ型とネガ型との間で反転させる変質処理を行ってもよい。
 その後、図1(d)に示すように、レジスト層10を現像する。現像により、強酸SAcの発生した領域(潜像が形成された領域)10aは現像液に溶解して除去される。以上のようにして、パターン露光L1のパターン形状にしたがったパターンを有するレジスト層10を形成できる。
 なお、増感体前駆体Ppから増感体Psを生成する反応は室温以下で行うことが望ましい。ただし、必要に応じて、パターン露光L1後に、解像度を犠牲にして高感度化するために室温よりやや高い温度に加熱してもよい。
 以上のようにして、レジスト層10にレジストパターンを形成できる。
 なお、上述したように、レジスト層10をフラッド露光L2後に変質処理を行う場合、変質処理は現像プロセスの後半のリンス液を用いる過程で行われてもよい。例えば、シリコンを含有するリンス液を用いると、レジスト層10のうちパターン露光L1のエネルギーの付与された領域10aにシリコンが含浸する場合がある。この場合、ドライエッチングで現像すると、領域10aが除去されることなく領域10bが除去される場合がある。
 一般に、ポジ型のレジスト層を形成する場合、パターン露光およびフラッド露光を行った後に、アルカリ水溶液で現像することでレジスト層はポジ型のパターンに形成される。しかしながら、アルカリ水溶液に代えて有機溶媒で現像することで、レジスト層はネガ型のパターンに形成されてもよい。
 本実施形態のレジスト材料は、ベース樹脂R、増感体前駆体Pp、強酸発生剤SPAおよび塩基Baを有するレジスト組成物を含有する。本実施形態のレジスト材料では、レジスト組成物にパターン露光L1のビームが照射されると、増感体前駆体Ppから、パターン露光L1のビームの波長とは異なる波長のビームに対して強い吸収を示す増感体Psが生成する。この増感体Psはパターン露光L1のビームの照射に応じてパターン形状に生成される。また、フラッド露光L2のビームが照射されると、増感体Psがフラッド露光L2のビームを吸収し、増感体Psに起因して反応が促進される。例えば、増感体Psを介して強酸発生剤SPAから強酸SAcが発生し、所定の潜像パターンを簡便に形成させることができる。
 本実施形態によれば、図2の反応式(2)および反応式(3b)に示したように、強酸SAcおよび弱酸WAcのいずれも増感体前駆体Ppと反応して増感体Psを生成する。一方、レジスト反応である樹脂の極性変換等の反応は強酸SAcのみで生じる。これにより、増感化学増幅レジストの高解像度化で起きるフォトンショットノイズの問題を解決することができる。
 本実施形態では、パターン露光L1によってレジスト層10の領域10aに増感体Psを生成させた後に、フラッド露光L2によって増感体Psを励起させて強酸発生剤SPAから強酸SAcを発生させている。このため、パターン露光L1のビーム光源として低出力の光源を使用しても、適切なパターン形状の潜像を形成できる。例えば、パターン露光L1のビームとしてEUVビームでレジスト層10の領域10aを照射した後に、フラッド露光L2のビームとしてUVビームでレジスト層10を照射することで、領域10aに潜像を形成できる。この場合、EUVビームの照射時間を短縮でき、低出力の光源を用いても高いスループットが得られる。
 また、本実施形態によれば、レジスト層10の領域10aに強酸SAcを発生させる一方で、レジスト層10全体に塩基Baが存在しているため、PEB前には、室温下でも、領域10aにおいて発生する強酸SAcの一部は塩基Baと中和して減少するものの領域10aには強酸SAcが存在し、領域10bには塩基Baが存在する。PEBよる温度上昇と強酸SAcの拡散に起因する解像度の低下は領域10bに存在する塩基Baによって抑制できる。
 なお、PEB温度での強酸SAcおよび塩基Baの拡散係数が小さい場合、PEB後の反応で生成する化学勾配を大きくできる。LWRは化学勾配に反比例し、同様にフォトンショットノイズによるLWRも化学勾配の大きさに反比例するので、このプロセスでは、フォトンショットノイズによるLWRを大幅に改善できる。
 この関係は非常によく知られた関係であり、以下のように定式化されている。
  LWR ∝ constant/dm/dx
  σLWR ∝ σm/dm/dx
ここで、σは標準偏差値、mは反応前の物質濃度で規格化した反応後の化学物質の濃度、xはレジスト層の位置、dm/dxは化学勾配を示す。フォトン数が少なくなると、反応のばらつきが大きくなるのでσmは大きくなるが、本実施形態では化学勾配dm/dxを非常に大きくできるので、σmが大きくても、LWRの標準偏差値を小さくできる。
 このように、本実施形態によれば、感度、解像度および線幅ラフネス(LWR)のトレードオフを解消し、パターン解像度を維持しながらレジスト層10の感度を向上できる。また、本実施形態によれば、近年、トレードオフ以上に大きな課題となっているフォトンショットノイズを大幅に改善できる。この結果、露光工程のスループットの向上が実現され、露光システムの大幅な低コスト化を実現できる。また、低出力の光源が適用可能なため、光源装置、露光装置内の消耗部品の寿命を長くし、保守および運転コストも大幅に低減できる。以上のように、本実施形態によれば、感度、解像度および線幅ラフネス(LWR)のトレードオフを解消してレジストの感度を向上させるとともに、フォトンショットノイズによるLWRを抑制することができる。
 また、本実施形態によれば、レジスト層10が塩基Baを含有することにより、レジスト層10は塩基性を示すため増感体前駆体Ppの分解を抑制できるとともに、パターン露光L1としてEUVを用いた場合の帯域外光(Out of Band)によって領域10bに生成される極低濃度の酸を除去することができる。
 なお、本実施形態のレジスト材料において、前述のプロパルギルアルコール等の増感体前駆体Ppとともに、ベース樹脂Rとしてポリヒドロキシスチレン樹脂(PHS樹脂)等を用いることが好ましい。一般に、PHS樹脂は高いガラス転移温度Tgを示すため、酸の拡散を抑制しやすい。また、前述のプロパルギルアルコール等の増感体前駆体Ppは弱酸とも反応しやすい。
 なお、フラッド露光L2を行った場合、レジスト層10内の反応の一例は以下のように考えられる。フラッド露光L2を行うと、増感体Psが励起され励起状態となる(Ps→Ps*)。励起状態の増感体Ps*は強酸発生剤SPAと反応して強酸SAcを生成する。具体的には、励起状態の増感体Ps*から強酸発生剤SPAに電子移動が起こり、強酸発生剤SPAは電子を受け取ってX-を生成し、これらの結果として強酸SAc(HX)が生成する。
 ある実施形態では、増感体のカチオンラジカル(Ps・+)からベース樹脂Rの高分子にホールが移動して増感体Psに戻る。
 ある実施形態では、増感体のカチオンラジカル(Ps・+)からベース樹脂Rの高分子にプロトンが移動する。なお、この反応後の吸収スペクトルは元の増感体Psと吸収スペクトルと変わらず、フラッド露光L2中に増感体Psの吸収スペクトルが変化しないことが好ましい。
 なお、上述した説明では、図2の反応式(2)に示したように、パターン露光L1により、強酸SAcが増感体前駆体Ppと反応して増感体Psが生成される。一方、フラッド露光L2において、強酸SAcが増感体前駆体Ppと反応して増感体Psが新たに生成されてもよい。ただし、増感体前駆体Ppから増感体Psの生成は、パターン露光L1において進行する一方、フラッド露光L2において進行しないことが好ましい。
 また、上述したように、フラッド露光L2はフラッシュ露光で短期間に行うことが好ましい。フラッド露光L2によって増感体Psが生成すると、新たな増感体Psによって意図せぬ強酸SAcが生成されてしまうことがある。フラッド露光L2を短期間に行うことにより、意図せぬ強酸SAcの生成を抑制できる。
 また、図2の反応式(3b)で示した弱酸WAcと増感体前駆体Ppとの反応は、室温下ではあまり進行しない一方で、加熱環境下において進行してもよい。この場合、パターン露光L1において反応式(3b)の反応を進行させるために、パターン露光L1において加熱(PEB)することが好ましい。パターン露光L1後の加熱によって、弱酸WAcと増感体前駆体Ppから増感体Psを生成する反応は進行するが、パターン露光L1後のPEBにおいて、強酸SAcおよび弱酸WAcが拡散することは好ましくない。ただし、パターン露光L1後の加熱(PEB)温度はフラッド露光L2後の加熱(PEB)温度より低いことが好ましい。
 なお、上述した説明では、レジスト層10内のレジスト組成物は塩基Baを含有し、塩基Baは、強酸SAcと反応することによって中和物Neおよび弱酸WAcを生成したが、本発明はこれに限定されない。レジスト組成物は塩基Baに加えて塩基Baよりも弱い弱塩基を含有してもよい。この場合、レジスト組成物が弱塩基を含有することにより、強酸SAcと塩基Baとの中和によって生じる弱酸WAcがパターン露光L1のエッジ部分から外部に拡散することを抑制できる。
 上述したように、増感体前駆体Ppは、パターン露光L1によって強酸発生剤SPAから発生した強酸SAcと反応して増感体Psを生成してもよい。この場合、パターン露光L1により、増感体前駆体Ppと強酸SAcとが反応して増感体Psを生成するプロセス1が進行した後に、フラッド露光L2により、励起状態の増感体Psと強酸発生剤SPAとが反応するプロセス2が進行してもよい。
 プロセス1では、パターン露光L1により、増感体前駆体Ppと強酸SAcとが反応して増感体Psを生成する。典型的には、強酸SAcがレジスト層内を拡散し、拡散する強酸SAcの近くに増感体前駆体Ppが存在していると、強酸SAcが増感体前駆体Ppと反応し、強酸SAcおよび増感体前駆体Ppから増感体Psが生成される。このように、プロセス1は強酸SAcの拡散によって進行する。拡散長は塩基濃度、酸分子の大きさ、温度、レジストのガラス転移温度Tgなどに依存して大きく変化する。一般に、温度が高いほど、強酸SAcの拡散長は長くなる。例えば、ベース樹脂のガラス転移温度Tgよりも高い温度において、強酸SAcの拡散長は比較的長くなる。以上のように、プロセス1は強酸SAcの熱拡散に伴う反応であり、強酸SAcの発生した領域から離れた領域でも、強酸SAcと増感体前駆体Ppとの反応が生じ得る。
 また、プロセス2では、典型的には、励起した増感体Psが強酸発生剤SPAと反応して強酸SAcを発生させる。このように、プロセス2は、電子移動またはエネルギー移動等を生じさせる光化学反応であり、励起した増感体Psから比較的短い距離で3次元的かつ等方性の高い反応が生じる。
 ここで、プロセス1およびプロセス2におけるラフネス、および、フォトンショットノイズについて検討する。特に少量のフォトンで反応を進行させる場合、フォトンショットノイズに起因するラフネスが目立つことがある。フォトンショットノイズに起因するラフネスを抑制するために、反応距離は、プロセス1およびプロセス2のいずれにおいても短いことが好ましい。なお、プロセス1およびプロセス2を比較した場合、反応距離のばらつきは、熱拡散に伴うプロセス1において生じやすい。特に、強酸SAcの濃度が比較的低い場合、プロセス1の反応において拡散に伴うフォトンショットノイズに起因するラフネスが生じやすい。このため、フォトンショットノイズに起因するラフネスを抑制するために、プロセス1を行う際、強酸SAcおよび増感体前駆体Ppから増感体Psを生成する反応が効率よく進行するのであれば、温度を低くして強酸SAcの拡散長を比較的短くすることが好ましい。例えば、パターン露光L1は、強酸SAcの拡散の温度依存性、および、強酸SAcおよび増感体前駆体Ppから増感体Psが生成する反応の温度依存性等を考慮して行うことが好ましい。
 プロセス2では、3次元等方性の高い励起した増感体Psから強酸発生剤SPAへの電子移動またはエネルギー移動に伴って強酸SAcが効率よく生成されるように励起した増感体Psと強酸発生剤SPAを選択し、強酸発生剤SPAの濃度を高くすることが好ましい。また、プロセス1よりプロセス2の比率を大きくすることがラフネスやフォトンショットノイズに起因するラフネスを低減する上で有効である。このように、パターン露光L1およびフラッド露光L2において、強酸SAcと増感体前駆体Ppとの反応における強酸SAcの拡散距離、および、励起した増感体Psから強酸発生剤SPAへの電子移動またはエネルギー移動の反応距離を短くすることが好ましい。さらに、強酸SAc等のランダムな拡散軌道に従った反応よりも3次元等方性の高い電子移動、エネルギー移動反応によって強酸SAcを生成する反応の寄与する度合を大きくすることが好ましい。これらにより、レジストパターンのフォトンショットノイズに起因するラフネスを低減させることができる。
 なお、上述したように、増感体前駆体Ppが、パターン露光L1によって強酸発生剤SPAから発生した強酸SAcと反応して増感体Psを生成する場合、増感体前駆体Ppは、増感体Psを生成するための反応物としてのみではなく、強酸発生剤SPAから強酸SAcを発生させる反応に対して増感作用を有することが好ましい。なお、パターン露光L1の際に、増感体前駆体Ppは、増感体前駆体Ppから増感体Psを発生させる反応に対する増感作用および/または強酸発生剤SPAから強酸SAcを発生させる反応に対する増感作用を有することが好ましい。
 また、上述の説明では、パターン露光L1およびフラッド露光L2はそれぞれ1回行われたが、本発明はこれに限定されない。パターン露光L1およびフラッド露光L2はそれぞれ複数回行われてもよい。例えば、フラッド露光L2は複数回行われてもよい。
 なお、上述した説明では、パターン露光L1によって強酸発生剤SPAから発生した強酸SAcが増感体前駆体Ppと反応して増感体Psを生成し、また、パターン露光L1前のレジスト層10は強酸SAcを中和するための塩基Baを含有していたが、本発明はこれに限定されない。レジスト層10は、パターン露光L1によって発生させたラジカルを介して増感体前駆体Ppから増感体Psを生成し、パターン露光L1前のレジスト層10はラジカル捕捉成分Rkを含有してもよい。
 以下、図5を参照して、本実施形態によるレジストパターン形成方法およびレジスト材料を説明する。本実施形態のレジストパターン形成方法およびレジスト材料は、パターン露光L1を行う前のレジスト層10がラジカル捕捉成分Rkを含有する点を除いて、図1および図2を参照して上述したレジストパターン形成方法およびレジスト材料と同様であり、冗長を避けるために重複する記載を省略する。なお、本実施形態においてレジスト層10の増感体前駆体Ppはアルコール型であり、パターン露光L1によって発生したラジカルを介して増感体前駆体Ppから増感体Psを生成する。
 図5(a)~図5(d)のそれぞれは、本実施形態によるレジストパターン形成方法の各工程を示す模式図である。
 まず、図5(a)に示すように、基板S上にレジスト層10を形成する。レジスト層10は、ベース樹脂R、増感体前駆体Pp、強酸発生剤SPA、塩基Baおよびラジカル捕捉成分Rkを含有している。
 例えば、ラジカル捕捉成分Rkとして、ヒンダードフェノールなどのラジカル捕捉剤、ラジカル禁止剤が用いられる。なお、ラジカル捕捉成分Rkはベース樹脂Rに混合されていてもよい。あるいは、ラジカル捕捉成分Rkはレジスト層10内の別の成分に結合されてもよい。例えばラジカル捕捉成分Rkはベース樹脂Rに結合されている。ベース樹脂Rとして、ポリヒドロキシスチレン樹脂(PHS樹脂)を用いる場合、PHS樹脂はラジカル捕捉剤として機能し得る。
 次に、図5(b)に示すように、レジスト層10にパターン露光L1を行う。パターン露光L1におけるビームは、レジスト層10の領域10aを照射し、レジスト層10の領域10bを照射しない。
 パターン露光L1を行う前には、レジスト層10の強酸発生剤SPA、増感体前駆体Pp、塩基Baおよびラジカル捕捉成分Rkは場所によらずほぼ一定の濃度を有している。なお、ラジカル捕捉成分Rkの濃度は、強酸発生剤SPAおよび増感体前駆体Ppの濃度と比べて比較的低い。
 パターン露光L1が始まると、領域10a内にラジカルが発生し、ラジカルを介して増感体前駆体Ppから増感体Psが生成される。ここでは、レジスト層10がラジカル捕捉成分Rkを含有しているため、発生したラジカルの一部はラジカル捕捉成分Rkに捕捉される。このため、増感体Psの濃度分布は、レジスト層10がラジカル捕捉成分Rkを含有していない場合と比べてシャープになる。
 次に、図5(c)に示すように、レジスト層10にフラッド露光L2を行う。パターン露光L1によって形成された増感体Psの濃度分布がシャープであるため、増感体Psを介して強酸発生剤SPAから発生する強酸SAcの濃度分布をシャープにできる。
 その後、図5(d)に示すように、レジスト層10の現像を行う。以上のように、レジスト層10に予め少量のラジカル捕捉成分Rkを添加することにより、コントラストおよび解像度を改善できるとともに、領域10bへの迷光または帯域外光(Out Of Band)の照射に伴う少量の酸の生成を抑制でき、レジスト性能を向上できる。
 また、上述したように、レジスト層10は、強酸発生剤SPAとは別にラジカル発生成分を含有してもよいが、強酸発生剤SPAおよびラジカル発生成分は同一の成分であってもよい。この場合、フラッド露光L2により、強酸SAcおよび増感体Psが生成される。この反応は、ラジカルに伴う反応を含むので、上述したように、レジスト層10は、ラジカル捕捉成分Rkを含有することが好ましい。また、レジスト層10は、露光(例えば、フラッド露光)によってラジカル捕捉成分を生成するラジカル禁止剤発生剤を含有してもよい。
 図1~図5を参照した上述の説明では、レジスト層10は露出されており、外気と直接的に接触していたが、本発明はこれに限定されない。レジスト層10の表面にトップコート層が設けられてもよい。また、レジスト層10と基板Sとの間に下地層が設けられてもよい。
 以下、図6を参照して、本実施形態によるレジストパターン形成方法を説明する。本実施形態のレジストパターン形成方法は、レジスト層10の下に下地層Uをさらに形成し、かつ、レジスト層10の表面にトップコート層Tをさらに形成する点を除いて、図1~図5を参照して上述したレジストパターン形成方法と同様であり、冗長を避けるために重複する記載を省略する。
 まず、図6(a)に示すように、基板S上に下地層Uを形成する。下地層は、例えば、市販の無機材料または有機材料から形成される。
 次に、下地層Uの上にレジスト層10を形成する。レジスト層10は、ベース樹脂R、増感体前駆体Pp、強酸発生剤SPAおよび塩基Baを含有している。
 次に、レジスト層10の表面にトップコート層Tを形成する。トップコート層Tにより、塩基性物質および/または酸素のレジスト層10への侵入が遮断される。トップコート層Tは、パターン露光L1とフラッド露光L2のビームを透過し、帯域外光(Out of Band)のビームをなるべく遮断することが好ましい。
 例えば、増感体前駆体Ppがアセタール型の場合、トップコート層Tは、酸の失活を防ぐために、塩基性化合物を浸透しないことが好ましい。また、例えば、増感体前駆体Ppがアルコール型の場合、トップコート層Tは、酸素の透過しない架橋した高分子膜、または、ヒドロキノンや3,5-ジブチル-4-ヒドロキシトルエンなどの酸素と反応する物質を含む高分子膜から形成される。トップコート層Tの厚さは、パターン露光L1のビーム源に応じて決定される。例えば、ビーム源としてEUVを用いる場合、トップコート層TでのEUVのエネルギー損失が大きいため、トップコート層Tの厚さは20nm以上50nm以下であることが好ましい。また、ビーム源としてEBを用いる場合、トップコート層Tの厚さは、EBのエネルギーに依存するが、50nm以下であることが好ましい。さらに、ビーム源として、ArFまたはKrFを用いる場合、トップコート層Tはビームに対して透明であることが好ましく、トップコート層Tの厚さは20nm以上200nm以下であってもよい。
 次に、図6(b)に示すように、トップコート層Tを介してレジスト層10にパターン露光L1を行う。上述したように、パターン露光L1により、領域10aに増感体Psが形成される。
 次に、図6(c)に示すように、トップコート層Tを介してレジスト層10にフラッド露光L2を行う。フラッド露光L2により、上述したように、領域10aに強酸SAcが形成される。
 次に、図6(d)に示すように、レジスト層10を現像する。現像により、強酸SAcの発生した領域(潜像が形成された領域)10aは現像液において溶解し除去される。以上のようにして、パターン露光L1のパターン形状にしたがったパターンを有するレジスト層10を形成できる。なお、パターン露光L1の後、または、フラッド露光L2の後、必要に応じてレジスト層10上のトップコート層Tを除去してもよい。パターン露光L1の間、または、フラッド露光L2の間、トップコート層Tが設けられていることにより、レジスト層10への塩基性物質および/またはラジカル捕捉成分の意図しない侵入が抑制され、これにより、レジスト層10のレジスト性能をさらに向上させることができる。
 なお、図6を参照して上述した説明では、レジスト層10の上方にトップコート層Tを設け、レジスト層10の下方に下地層Uを設けたが、本発明はこれに限定されない。トップコート層Tを設けることなくレジスト層10の下方に下地層Uを配置してもよい。あるいは、下地層Uを設けることなくレジスト層10の上方にトップコート層Tを設けてもよい。
 また、下地層Uは、フラッド露光L2のビームの反射防止膜として機能することが好ましい。下地層Uの最適な厚さは、フラッド露光L2の波長によって決定される。
 なお、上述した説明では、パターン露光L1の露光前にレジスト層10内に塩基Baが存在しており、塩基Baの量はレジスト層10内における強酸SAcの発生に伴って減少したが、本発明はこれに限定されない。レジスト層10は塩基発生剤PBGを含有してもよい。
 以下、図7および図8を参照して、本実施形態によるレジストパターン形成方法およびレジスト材料を説明する。本実施形態のレジストパターン形成方法およびレジスト材料は、パターン露光L1を行う前のレジスト層10が塩基発生剤PBGを含有する点を除いて、図1~図6を参照して上述したレジストパターン形成方法およびレジスト材料と同様であり、冗長を避けるために重複する記載を省略する。
 まず、図7(a)に示すように、基板S上にレジスト層10を形成する。レジスト層10は、ベース樹脂R、増感体前駆体Pp、強酸発生剤SPAおよび、塩基発生剤PBGを含有している。レジスト層10中において、例えば、100質量部のベース樹脂Rに対して、塩基発生剤PBGは0質量部よりも多く40質量部以下である。
 なお、塩基発生剤PBGは、非イオン型であってもよく、イオン型であってもよい。非イオン型の塩基発生剤PBGは、例えば、9-アンスリルメチルーN,N-ジエチルカルバメートである。また、イオン型の塩基発生剤PBGは、例えば、シクロヘキシルアンモニウム 2-(3-ベンゾイルフェニル)プロピオナート、ジシクロヘキシルアンモニウム 2-(3-ベンゾイルフェニル)プロピオナートなどである。なお、塩基発生剤PBGから発生する塩基Baの拡散係数は小さいことが好ましい。
 次に、図7(b)に示すように、レジスト層10にパターン露光L1を行う。パターン露光L1におけるビームは、レジスト層10の領域10aを照射し、レジスト層10の領域10bを照射しない。
 パターン露光L1によって、レジスト層10の領域10aにエネルギーが付与されると、増感体前駆体Ppから増感体Psが生成される。図8(a)に、領域10aにおける増感体Psの濃度分布を示す。
 その後、図7(c)に示すように、レジスト層10にフラッド露光L2を行う。フラッド露光L2によって、増感体Psの生成されたレジスト層10の全体にエネルギーが付与される。
 塩基発生剤PBGは、フラッド露光L2により、塩基Ba1を発生させる。塩基Ba1は、レジスト層10に予め含有された塩基Baと同じであっても異なってもよい。本実施形態によれば、フラッド露光L2により、図8(b)に示すように、領域10bにおいて塩基発生剤PBGから塩基Ba1が発生する。なお、領域10aにおいて、領域10a内の塩基発生剤PBGから発生した塩基Ba1は強酸SAcと反応し、強酸SAcの濃度を低減させる。
 なお、一般に、室温における強酸SAcおよび塩基Ba1の拡散係数は非常に小さいので、強酸SAcのピーク濃度および塩基Ba1のピーク濃度はそれぞれほぼ一定であり、強酸SAcの濃度および塩基Ba1の濃度は、領域10aと領域10bとの境界において非常に急峻な勾配を形成する。
 なお、図7および図8を参照した上述の説明では、塩基発生剤PBGから塩基Ba1の発生はフラッド露光L2によって行われたが、本発明はこれに限定されない。塩基発生剤PBGから塩基Ba1の発生はフラッド露光L2だけでなくパターン露光L1によって行われてもよい。
 以下、図9を参照して、本実施形態によるレジストパターン形成方法およびレジスト材料を説明する。本実施形態のレジストパターン形成方法は、フラッド露光を2回行う点を除いて図7および図8を参照して上述したレジストパターン形成方法およびレジスト材料と同様であり、冗長を避けるために重複する記載を省略する。
 図9(a)~図9(e)のそれぞれは、本実施形態によるレジストパターン形成方法の各工程を示す模式図である。
 まず、図9(a)に示すように、基板S上にレジスト層10を形成する。レジスト層10は、ベース樹脂R、増感体前駆体Pp、強酸発生剤SPA、塩基Baおよび塩基発生剤PBGを含有している。
 次に、図9(b)に示すように、レジスト層10にパターン露光L1を行う。パターン露光L1におけるビームは、レジスト層10の領域10aを照射し、レジスト層10の領域10bを照射しない。パターン露光L1によって、レジスト層10の領域10aにビームが照射されることにより、領域10aにおいて増感体前駆体Ppから増感体Psが生成する。
 その後、図9(c)に示すように、レジスト層10に第1フラッド露光L2aを行う。第1フラッド露光L2aによって、レジスト層10の全体にビームが照射されることにより、増感体Psを介して強酸発生剤SPAから強酸SAcが発生する。なお、強酸発生剤SPAがなくなるまで強酸SAcが発生すると、その後、強酸SAcは発生しなくなるので、強酸SAcのピーク濃度は領域10aにわたってほぼ一定になる。最終的には、強酸SAcの濃度分布は、領域10aと領域10bとの境界において非常に急峻に変化する。
 その後、図9(d)に示すように、レジスト層10に第2フラッド露光L2bを行う。第2フラッド露光L2bによって、レジスト層10の全体にビームが照射されることにより、塩基発生剤PBGから塩基Ba1が発生する。
 ここで、塩基発生剤PBGからの塩基Ba1の発生を説明する。第2フラッド露光L2bを行う前には、レジスト層10には塩基発生剤PBGがほぼ均一に存在している。第2フラッド露光L2bが始まると、領域10bにおいて塩基発生剤PBGが減少し、塩基発生剤PBGから塩基Ba1が発生する。なお、領域10aにおいても塩基発生剤PBGから塩基Ba1が発生するが、領域10aにおいて発生した塩基Ba1は強酸SAcと中和し、強酸SAcの濃度を低減させる。
 第2フラッド露光L2bをさらに続けると、領域10bにおいて塩基発生剤PBGがさらに減少し、塩基発生剤PBGから発生した塩基Ba1の濃度が増加する。例えば、第2フラッド露光L2bは、領域10bの塩基発生剤PBGが無くなるまで続けられる。
 また、領域10aにおいて、領域10a内の塩基発生剤PBGから発生した塩基Ba1は強酸SAcと反応し、強酸SAcの濃度を低減させる。強酸SAcのピーク濃度および塩基Baと塩基Ba1の和のピーク濃度はそれぞれほぼ一定であり、強酸SAcの濃度および塩基Baと塩基Ba1の濃度は、それぞれ領域10aと領域10bとの境界において非常に急峻に変化する。したがって、PEB後の化学勾配も非常に大きくなる。フォトンショットノイズによるLWRは化学勾配の大きさに反比例するので、フォトンショットノイズによるLWRは大幅に改善される。
 その後、図9(e)に示すように、レジスト層10を現像する。現像により、強酸SAcの発生した領域10aが取り除かれる。以上のようにして、パターン露光L1のパターン形状にしたがったパターンを有するレジスト層10を形成できる。
 なお、図9を参照した上述の説明では、第2フラッド露光L2bは、領域10bの塩基発生剤PBGが無くなるまで続けられたが、本発明はこれに限定されない。第2フラッド露光L2bは、領域10bの塩基発生剤PBGが無くなるまで続けなくてもよい。
 また、図9を参照した上述の説明では、塩基発生剤PBGから塩基Ba1への反応は、第1フラッド露光L2aでは進行せずに、第2フラッド露光L2bによって進行する。このような反応は、例えば、以下のような条件下で進行する。
 図10に、増感体前駆体Pp、増感体Psおよび塩基発生剤PBGの吸収波長の模式的なスペクトルを示す。増感体Psの吸収波長は増感体前駆体Ppの吸収波長よりも長く、塩基発生剤PBGの吸収波長は増感体Psの吸収波長よりも長い。
 この場合、パターン露光L1で比較的短い波長のビームを照射すると、強酸発生剤SPAから強酸SAcが発生するとともに、強酸SAcおよび増感体前駆体Ppから増感体Psが形成される。また、第1フラッド露光L2aでパターン露光L1のビームの波長よりも長い波長のビームを照射すると、増感体Psを介して強酸発生剤SPAから強酸SAcが発生する。さらに、第2フラッド露光L2bで第1フラッド露光L2aのビームの波長よりも長い波長のビームを照射すると、塩基発生剤PBGから塩基Ba1が発生する。
 なお、図10を参照して、フラッド露光を2回行う場合の吸収波長の模式的なスペクトルを説明したが、フラッド露光は3回以上であってもよい。また、図7および図8を参照して上述したように、フラッド露光は1回であってもよい。
 なお、増感体前駆体Ppはアセタール型であっても、アルコール型であってもよい。あるいは、増感体前駆体Ppはアセタール型およびアルコール型の混合型であってもよい。例えば、増感体前駆体Ppがアセタール型の場合、強酸発生剤SPAから発生した強酸SAcが触媒として機能し、増感体前駆体Ppから増感体Psが生成する。あるいは、増感体前駆体Ppがアルコール型の場合、レジスト層10は、ラジカル発生成分を含有し、発生したラジカルを介して増感体前駆体Ppから増感体Psが発生する。
 なお、図9~図10を参照した上述の説明では、塩基発生剤PBGから塩基Ba1の発生は第2フラッド露光L2bによって行われたが、本発明はこれに限定されない。塩基発生剤PBGから塩基Ba1の発生は第2フラッド露光L2bだけでなくパターン露光L1および/または第1フラッド露光L2aによって行われてもよい。また図9~図10を参照した上述の説明では、フラッド露光として、第1フラッド露光L2aおよび第2フラッド露光L2bを行ったが、本発明はこれに限定されない。第2フラッド露光L2bの後に、増感体Psを介して強酸発生剤SPAから強酸SAcを発生させる第3フラッド露光を行ってもよい。
 なお、上述したように、パターン露光L1により、増感体前駆体Ppから増感体Psを直接的に生成してもよい。例えば、パターン露光L1により、増感体前駆体Ppが励起もしくはイオン化して増感体前駆体Ppが構造変換することにより、吸収波長または吸収係数の異なる増感体Psが生成されてもよい。構造変換は、例えば、共役長の変化、分解またはシストランス異性化である。または、パターン露光L1により、レジスト層10内の含有物のイオン化によって生成された電子と増感体前駆体Ppとの反応によって増感体Psが生成されてもよい。あるいは、パターン露光L1により、増感体前駆体Ppは、強酸発生剤SPAから発生した強酸SAcと反応して増感体Psを生成してもよい。
 なお、図7~図10を参照して上述した説明では、塩基発生剤PBGはフラッド露光L2によって塩基Ba1を生成したが、本発明はこれに限定されない。塩基発生剤PBGは熱処理によって塩基Ba1を生成してもよい。
 なお、上述したレジストパターン形成方法におけるパターン露光およびフラッド露光はレジスト潜像形成装置において好適に行われる。以下、図11を参照してレジスト潜像形成装置200を説明する。
 レジスト潜像形成装置200は、パターン露光機210と、フラッド露光機220とを備える。パターン露光機210は、基板S上に形成されたレジスト層10にパターン露光する。上述したように、レジスト層10はベース樹脂、増感体前駆体、強酸発生剤および塩基を含有している。なお、レジスト層10は、基板S上に直接形成されてもよく、あるいは基板S上に別の層を介して形成されてもよい。パターン露光機210のパターン露光L1により、レジスト層10の増感体前駆体から増感体が生成される。その後、フラッド露光機220はレジスト層10にフラッド露光L2を行い、パターン潜像を形成する。フラッド露光機220のフラッド露光L2により、増感体を介して強酸発生剤から酸が発生する。
 パターン露光機210は、チャンバ212と、パターン光源214とを有している。チャンバ212は、基板S上に形成されたレジスト層10を収納可能である。チャンバ212内は、不活性ガス雰囲気、活性ガス雰囲気または真空雰囲気であることが好ましい。活性ガス雰囲気は、例えば、分圧の制御された水素ガスを含む。チャンバ212は、収納している基板Sの温度を-10℃から100℃の範囲で制御可能であることが好ましい。
 パターン光源214は、チャンバ212内のレジスト層10にパターン形状のビームを照射する。パターン光源214のビームは、可視光、UV、DUV、EUVのような電磁波である。または、パターン光源214のビームは電子線またはイオンビームであってもよい。例えば、パターン光源214は、イオンビーム照射部、電子線照射部または電磁波照射部を含む。
 パターン露光L1の光源としてEUV光源を用いる場合、EUVの波長は1nm以上13.5nm以下であることが好ましく、6nm以上13.5nm以下であることがさらに好ましい。あるいは、パターン露光L1のビームとして電子線を用いる場合、電子線の加速エネルギーは10keV以上300keV以下であることが好ましく、40keV以上130keV以下であることがさらに好ましい。
 ここでは、パターン露光機210が基板S上に形成されたレジスト層10にパターン露光を行った後、基板Sはパターン露光機210からフラッド露光機220まで運搬される。基板Sがパターン露光機210からフラッド露光機220まで運搬される間、レジスト潜像形成装置200の内部は、不活性ガス雰囲気、活性ガス雰囲気または真空雰囲気であることが好ましい。パターン露光からPEBまでの間に酸の失活が起きないように、レジスト潜像形成装置200では、塩基性化合物の除去用フィルター等を用いて、雰囲気が厳しく制御されることが好ましい。これにより、パターン露光機210によって生じたレジスト層10の活性が減衰することを抑制できる。チャンバ222は、収納している基板Sの温度を-10℃から100℃の範囲で制御可能であることが好ましい。
 フラッド露光機220は、チャンバ222と、フラッド光源224とを有している。チャンバ222は、基板S上に形成されたレジスト層10を収納可能である。チャンバ222内は、不活性ガス雰囲気、活性ガス雰囲気または真空雰囲気であることが好ましい。
 フラッド光源224は、チャンバ222内のレジスト層10にフラッド露光L2のビームを照射してパターン潜像を形成する。フラッド露光L2のビームは、例えば可視光、UVのような電磁波である。図11では、フラッド露光L2のビームはミラーによって反射されて、チャンバ222内に導入されている。例えば、フラッド光源224は、イオンビーム照射部、電子線照射部または電磁波照射部を含む。
 フラッド露光機220は、ビームをエリア形状にするための機構をさらに有してもよい。例えば、フラッド露光機220は、投影レンズ系および遮断マスクを有する。ただし、フラッド露光機220は、投影レンズ系を有しておらず、遮断マスクのみを有してもよい。遮断マスクのみを有する場合、フラッド露光機220の構成が簡素になり好適である。
 このように、パターン光源214がレジスト層10のエリア内に、パターン形状にビームを照射した後、フラッド光源224が上記エリアにわたってビームを照射し、レジスト層10に所定のパターン潜像を形成する。パターン光源214は、パターン形状にビームを照射するパターン照射源であるのに対して、フラッド光源224は、エリア照射源である。
 レジスト層10にパターン潜像が形成された後、レジスト層10は、図示しない現像装置において現像されてもよい。現像により、所定のパターンのレジスト層10が出現する。
 なお、レジスト潜像形成装置200は、一例として、パターン光源214を備えるパターン露光機210、および、フラッド光源224を備えるフラッド露光機220に加えてコータ/デベロッパ(ここでは図示せず)をさらに備えることが好ましい。レジスト潜像形成装置200がコータ/デベロッパを備える場合、レジスト潜像形成装置200は、レジスト層10のパターン形成を以下のように行う。まず、コータ/デベロッパは、基板S上にスピンコートでアンダーレイヤーを形成し、アンダーレイヤーをベークする。
 次に、コータ/デベロッパは、アンダーレイヤー上にレジスト層10をコーティングし、レジスト層10をプリベークする。なお、必要に応じて、レジスト層10上にスピンコートでさらに別の層を形成し、当該層をベークしてもよい。
 次に、パターン露光機210のパターン光源214は、レジスト層10にビームを照射する。その後、フラッド露光機220のフラッド光源224はレジスト層10にビームを照射する。これにより、レジスト層10にパターン潜像が形成される。
 次に、コータ/デベロッパは、ポストベークを行う。その後、コータ/デベロッパは、レジスト層10を現像する。これにより、所定のパターン形状のレジスト層10が形成される。次に、コータ/デベロッパは、レジスト層10を純水でリンスし、ポストベーク(乾燥)を行う。以上のようにして、レジスト層10にパターンを形成することができる。
 なお、基板Sが、コータ/デベロッパ、レジスト層10を活性化する場所、レジスト層10にパターン潜像を形成する場所の間で運搬される場合、運搬は、所定の不活性ガス雰囲気下、活性ガス雰囲気下または真空雰囲気下で行われることが好ましい。運搬部材として、温度調整機能を有するステージが好適に用いられる。
 また、コータ/デベロッパは、パターン露光機210のチャンバ212内に配置されてもよく、あるいは、フラッド露光機220のチャンバ222内に配置されてもよい。さらには、コータ/デベロッパは、パターン露光機210およびフラッド露光機220と共通のチャンバ内に配置されてもよい。
 図11を参照して上述した説明では、チャンバ212においてパターン光源214から出射されたビームが照射され、チャンバ222においてパターン光源214とは異なるフラッド光源224から出射されたビームが照射されたが、本発明はこれに限定されない。
 また、図11を参照して上述した説明では、基板S上に形成されたレジスト層10を活性化した後、基板Sは、チャンバ212から一旦とり出されて、チャンバ222まで運搬されたが、本発明はこれに限定されない。基板Sは、チャンバ212とチャンバ222とを連絡する連絡経路を通ってチャンバ212からチャンバ222まで搬送されてもよい。
 また、図11を参照して上述した説明では、パターン露光機210およびフラッド露光機220は、チャンバ212およびチャンバ222をそれぞれ備えていたが、本発明はこれに限定されない。パターン露光機210およびフラッド露光機220のチャンバは同一であってもよい。
 また、図11を参照して上述したレジスト潜像形成装置200は、1つのフラッド露光機220を備えていたが、本発明はこれに限定されない。レジスト潜像形成装置200は、波長の異なるビームを出射する複数のフラッド露光機を備えてもよいし、また、1つのフラッド露光機が複数の異なるビームを出射してもよい。
 以下、図12を参照して本実施形態のレジスト潜像形成装置200を説明する。本実施形態のレジスト潜像形成装置200は、2つのフラッド露光機を備える点を除いて図11を参照して上述したレジスト潜像形成装置と同様の構成を有しており、冗長を避けるために重複する記載を省略する。
 レジスト潜像形成装置200は、パターン露光機210と、第1フラッド露光機220aと、第2フラッド露光機220bとを備える。パターン露光機210が、基板S上に形成されたレジスト層10にパターン露光L1を行った後、第1フラッド露光機220aがレジスト層10に第1フラッド露光L2aを行い、第2フラッド露光機220bがレジスト層10に第2フラッド露光L2bを行い、パターン潜像を形成する。
 パターン露光機210は、チャンバ212と、パターン光源214とを有している。パターン光源214は、チャンバ212内のレジスト層10にパターン形状のビームを照射する。パターン光源214のビームは、可視光、UV、DUV、EUVのような電磁波である。または、パターン光源214のビームは電子線またはイオンビームであってもよい。
 第1フラッド露光機220aは、チャンバ222aと、第1フラッド光源224aとを有している。チャンバ222aは、基板S上に形成されたレジスト層10を収納可能である。チャンバ222a内は、不活性ガス雰囲気、活性ガス雰囲気または真空雰囲気であることが好ましい。
 パターン露光機210が基板S上に形成されたレジスト層10にパターン露光を行った後、基板Sはパターン露光機210から第1フラッド露光機220aまで運搬される。基板Sがパターン露光機210から第1フラッド露光機220aまで運搬される間、レジスト潜像形成装置200の内部は、不活性ガス雰囲気、活性ガス雰囲気または真空雰囲気であることが好ましい。
 第1フラッド光源224aは、チャンバ222a内のレジスト層10に第1フラッド露光L2aのビームを照射する。第1フラッド光源224aから出射されたビームは、レジスト層10内のエリアにわたって照射される。第1フラッド露光L2aのビームは、例えば可視光、UVのような電磁波である。図12では、第1フラッド露光L2aのビームはミラーによって反射されて、チャンバ222a内に導入されている。
 第1フラッド露光機220aが基板S上に形成されたレジスト層10に第1フラッド露光L2aを行った後、基板Sは第1フラッド露光機220aから第2フラッド露光機220bまで運搬される。基板Sが第1フラッド露光機220aから第2フラッド露光機220bまで運搬される間、レジスト潜像形成装置200の内部は、不活性ガス雰囲気、活性ガス雰囲気または真空雰囲気であることが好ましい。
 第2フラッド露光機220bは、チャンバ222bと、第2フラッド光源224bとを有している。チャンバ222bは、基板S上に形成されたレジスト層10を収納可能である。チャンバ222b内は、不活性ガス雰囲気、活性ガス雰囲気または真空雰囲気であることが好ましい。
 第2フラッド光源224bは、チャンバ222b内のレジスト層10に第2フラッド露光L2bのビームを照射してパターン潜像を形成する。第2フラッド光源224bから出射されたビームは、レジスト層10内のエリアにわたって照射される。第2フラッド露光L2bのビームは、例えば可視光、UVのような電磁波である。図12では、第2フラッド露光L2bのビームもミラーによって反射されて、チャンバ222内に導入されている。
 なお、第2フラッド光源224bの出射するビームの波長は、第1フラッド光源224aの出射するビームの波長よりも長いことが好ましい。ただし、第2フラッド光源224bの出射するビームの波長は、第1フラッド光源224aの出射するビームの波長よりも短くてもよい。
 レジスト層10にパターン潜像が形成された後、レジスト層10は、図示しない現像装置において現像されてもよい。現像により、所定のパターンのレジスト層10が出現する。
 なお、図12を参照して上述した説明では、異なる第1フラッド露光機220aおよび第2フラッド露光機220bが異なるフラッド露光を行ったが、本発明はこれに限定されない。フラッド露光機の同一のフラッド光源により、第1フラッド露光L2aおよび第2フラッド露光L2bの両方が行われてもよい。
 以下、図13を参照して本実施形態のレジスト潜像形成装置200を説明する。本実施形態のレジスト潜像形成装置200は、フラッド露光機220内の同一のフラッド光源224により、第1フラッド露光L2aおよび第2フラッド露光L2bの両方が行われる点を除いて図12を参照して上述したレジスト潜像形成装置と同様の構成を有しており、冗長を避けるために重複する記載を省略する。
 フラッド露光機220は、チャンバ222と、フラッド光源224とを有している。チャンバ222は、基板S上に形成されたレジスト層10を収納可能である。チャンバ222内は、不活性ガス雰囲気、活性ガス雰囲気または真空雰囲気であることが好ましい。
 フラッド光源224は、チャンバ222内のレジスト層10にフラッド露光L2のビームを照射してパターン潜像を形成する。フラッド露光L2のビームは、例えば可視光、UVのような電磁波である。
 ここでは、フラッド露光機220は、第1フラッド露光L2aおよび第2フラッド露光L2bを行う。フラッド光源224は、チャンバ222内のレジスト層10に第1フラッド露光L2aのビームを照射する。フラッド光源224から出射されたビームは、レジスト層10内のエリアにわたって照射される。
 その後、フラッド光源224は、チャンバ222内のレジスト層10に第2フラッド露光L2bのビームを照射する。この場合も、フラッド光源224から出射されたビームは、レジスト層10内のエリアにわたって照射される。なお、典型的は、第2フラッド露光L2b時のビームの波長は、第1フラッド露光L2a時のビームの波長とは異なる。このように、フラッド露光機220内の同一のフラッド光源224により、第1フラッド露光L2aおよび第2フラッド露光L2bの両方が行われてもよい。
 また、上述した説明では、フラッド露光はパターン露光の後に行われたが、本発明はこれに限定されない。パターン露光に先立ち、予備的なフラッド露光を行ってもよい。あるいは、パターン露光のみによって、増感体前駆体Ppからの増感体Psの生成が完了しなくてもよく、パターン露光の後に、増感体前駆体Ppからの増感体Psを生成するためのフラッド露光を行ってもよい。
 なお、上述した説明では、ポジ型のレジスト層を説明したが、本発明はこれに限定されない。レジスト層はネガ型であってもよい。
 以下、実施例を説明する。なお、本発明はこれらの実施例に何ら限定されるものではない。
[実施例1]
[A.増感体前駆体の合成]
(1)200mLの4つ口フラスコに2-ブロモナフタレン(1.99g、9.60mmol)、1,1-ジフェニル-2-プロピン-1-オール(2.00g、 9.60mmol)、ヨウ化銅(12.8mg、0.07mmol)をトリエチルアミン(32mL)中で攪拌した。
(2)(1)の溶液を攪拌しながら、アルゴンバブリング(50mL/分)を15分間行った。
(3)反応溶液にPdCl2(PPh3(13.5mg、0.029 m mol)を加え、アルゴンフロー(200mL/分)の状態で内温70℃まで加熱し、24時間攪拌を行った。
(4)反応液を室温に戻し、塩化アンモニウムを加えて反応の停止を行った。
(5)酢酸エチルと飽和食塩水で分液操作を行い、得られた有機層に硫酸マグネシウムを加えて脱水した。
(6)エバポレータで溶媒を留去し、粗生成物(約2.8g)を得た。
(7)シリカゲルカラム(150mL)を用いてカラム精製を行った。
   酢酸エチル/ヘキサン=1/6
(8)減圧乾燥機で40℃12時間乾燥を行った。
   収量:2.0g(収率:62%)
(9)H1-NMR分析結果とTOF-MS測定(分子量344.4)より、1,1-ジフェニル-3-(2-ナフチル)プロパルギルアルコールが得られていることを確認した。
[B.レジストの露光実験]
 予めヘキサメチルジシラサン(HMDS)処理を行ったシリコン基板上に、下地膜を形成した。
 次に、ベース樹脂Rとして、ポリ(4-ヒドロキシスチレン)系高分子、強酸発生剤SPAとしてはスルホニウム系強酸発生剤、増感体前駆体Ppとしては1,1-ジフェニル-3-(2-ナフチル)プロパルギルアルコール、強酸との反応によって弱酸を生成する塩基からなるレジスト材料を調製した。下地膜上にレジスト材料を付与し、スピンコーター(ミカサ株式会社製)を用いて1000 rpm、120秒でスピンコートした。スピンコート後、100℃で1分間の熱処理を行い、レジスト層を形成した。スピンコート後、AFM(株式会社日立ハイテクサイエンス製NanoNavi II/SPA-300HV)を用いて計測したレジスト層の厚さは約50nmであった。さらに、レジスト層の上にトップコートを形成した。
 パターン露光機としてはElionix社製電子ビーム露光装置(ELS-100T:市販のELS-125F相当)を用いて加速電圧125keV、照射電流 50pAでレジスト層を照射した。パターン露光L1を行った後、レジスト層をインターバルとして大気中に1分間保持した後、フラッド露光を行った。フラッド露光機としてLED光源(365nm、岩崎電気株式会社)を用いた。パターン露光L1後のレジスト層に対して、大気中においてレジスト表面での露光強度が40mW/cm2の露光条件でフラッド露光L2を行った。その後、所定の条件でPEB、濃度2.38%のテトラメチルアンモニウムハイドロオキサイド(TMAH)現像液によって現像処理を行った。現像処理後のパターンサイズは、ZEISS社製NVsion-40D(In lensタイプ)を用いて評価した。
 図14(a)、図14(b)、および図14(c)はパターン露光後に5分間フラッド露光を行ったレジスト層のSEM像を示す。図14(a)は、パターン露光として、30nmのドットパターンで露光量160μC/cm2の電子ビームで露光し、5分間フラッド露光を行った後に現像したレジスト層を示す。図14(b)は、パターン露光として、30nmのドットパターンで露光量180μC/cm2の電子ビームで露光し、5分間フラッド露光を行った後に現像したレジスト層を示す。図14(c)は、パターン露光として、30nmのドットパターンで露光量200μC/cm2の電子ビームで露光し、5分間フラッド露光を行った後に現像したレジスト層を示す。
 図14(a)に示すように、電子ビームの露光量が160μC/cm2の場合、露光量が若干不足しているが、ドットパターンが形成された。図14(b)に示すように、電子ビームの露光量が180μC/cm2の場合、十分なドットパターンが形成された。図14(c)に示すように、電子ビームの露光量が200μC/cm2の場合、若干オーバー露光になったが、ドットパターンが形成された。
 なお、図14(a)~図14(c)において、縦方向にドットパターンがオーバーラップして見えるのは、高感度化学増幅レジストの測定には適さないElionix社製電子ビーム露光装置(ELS-100T:市販のELS-125F相当)の保証している電流値より低い電流値で測定しているため、不安定で掃引方向(縦方向)に漏れ電流が出ているためと考えられる。横方向にはきれいに分離しているので、レジストの性能としては分離したドットパターンを形成していると考えられる。
 なお、上述のレジスト材料と同一の材料に対して、フラッド露光として波長365nmのLED光を照射しなかったことを除き、プリベーク、PEB、現像等のプロセス条件を同じにしてレジスト層を形成した。
 図15(a)、図15(b)、および図15(c)はパターン露光を行った後にフラッド露光を行わずに現像したレジスト層のSEM像を示す。図15(a)は、パターン露光として、30nmのドットパターンで露光量240μC/cm2の電子ビームで露光し、フラッド露光を行わずに現像したレジスト層を示す。図15(b)は、パターン露光として、30nmのドットパターンで露光量300μC/cm2の電子ビームで露光し、フラッド露光を行わずに現像したレジスト層を示す。図15(c)は、パターン露光として、30nmのドットパターンで露光量360μC/cm2の電子ビームで露光し、フラッド露光を行わずに現像したレジスト層を示す。
 図15(a)に示すように、電子ビームの露光量が240μC/cm2の場合、フラッド露光を行わなくても、露光量が若干不足したもののドットパターンが形成された。図15(b)に示すように、電子ビームの露光量が300μC/cm2の場合、十分なドットパターンが形成された。図15(c)に示すように、電子ビームの露光量が360μC/cm2の場合、フラッド露光を行わなくても若干オーバー露光になったが、ドットパターンが形成された。
 なお、図15(a)~図15(c)においてドットパターンは縦方向にオーバーラップしてみえる。これは、図14(c)と同様に、高感度化学増幅レジストの測定には適さないElionix社製電子ビーム露光装置(ELS-100T:市販のELS-125F相当)の保証している電流値より低い電流値で測定していることが原因で不安定で掃引方向(縦方向)に漏れ電流が出ていることから、オーバーラップが起こっているため生じた事象であり、横方向には分離しているので、レジストの性能としては分離したドットパターンを形成していると考えられる。
 今まで、大気中で5分間のフラッド露光を行うと大気中の塩基成分のため引き置き効果が発生し、高解像度のドットパターンの形成ができなかったが、弱酸でも増感体前駆体から増感体の生成反応が進行する増感体前駆体として、1,1-ジフェニル-3-(2-ナフチル)プロパルギルアルコールを、光分解性塩基(PDB)とともに用いることにより、高解像度レジストでも高感度化することが示された。
 図16(a)、図16(b)、および図16(c)は、ベース樹脂R、強酸発生剤SPA、増感体前駆体Ppおよび強酸との反応によって弱酸を生成する塩基を含有したレジスト層に対して、パターン露光、またはパターン露光およびフラッド露光の両方を行った後のSEM像を示す。ここでは、レジスト層は、上述と同様に形成した。ベース樹脂Rとして、ポリ(4-ヒドロキシスチレン)系高分子、強酸発生剤SPAとしてはスルホニウム系強酸発生剤、増感体前駆体Ppとしては1,1-ジフェニル-3-(2-ナフチル)プロパルギルアルコール、強酸との反応によって弱酸を生成する塩基からなるレジスト材料を調製した。下地膜上にレジスト材料を付与し、スピンコーター(ミカサ株式会社製)を用いて1000 rpm、120秒でスピンコートした。スピンコート後、100℃で1分間の熱処理を行い、レジスト層を形成した。スピンコート後、AFM(株式会社日立ハイテクサイエンス製NanoNavi II/SPA-300HV)を用いて計測したレジスト層の厚さは約50nmであった。さらに、レジスト層の上にトップコートを形成した。
 図16(a)は、レジスト層に対して、露光量500μC/cm2の電子ビームでパターン露光を行った後に現像したレジスト層を示す。図16(b)は、レジスト層に対して、露光量430μC/cm2の電子ビームでパターン露光を行い、露光量2.4J/cm2でフラッド露光を行った後に現像したレジスト層を示す。図16(c)は、レジスト層に対して、露光量360μC/cm2の電子ビームでパターン露光を行い、露光量4.8J/cm2でフラッド露光を行った後に現像したレジスト層を示す。
 図17(a)、図17(b)、および図17(c)は、塩基以外は図16と同一成分を含有したレジスト層に対して、パターン露光、またはパターン露光およびフラッド露光の両方を行った後のSEM像を示す。なお、この塩基は強酸と反応して弱酸を形成しない。
 図17(a)は、レジスト層に対して、露光量500μC/cm2の電子ビームでパターン露光を行った後に現像したレジスト層を示す。図17(b)は、レジスト層に対して、露光量460μC/cm2の電子ビームでパターン露光を行い、露光量2.4J/cm2でフラッド露光を行った後に現像したレジスト層を示す。図17(c)は、レジスト層に対して、露光量400μC/cm2の電子ビームでパターン露光を行い、露光量7.2J/cm2でフラッド露光を行った後に現像したレジスト層を示す。
 一般に、微細なパターンを形成するためには高濃度の塩基を必要とするが、塩基は強酸と反応するので、増感体前駆体と反応する強酸の数が減少する。したがって、生成する増感体も減少する。しかし、塩基が強酸と反応しても弱酸を形成すれば、強酸と弱酸を足した酸の数は減少しない。
 図16(a)~図16(c)では、形成される弱酸が増感体前駆体と反応して増感体を生成する増感体前駆体である1,1-ジフェニル-3-(2-ナフチル)プロパルギルアルコールを用いているので、レジストを高感度化することができた。また、図16(a)~図16(c)では高濃度の塩基を用いても生成する増感体が減少しないので、高感度化だけでなく、フォトンショットノイズの問題も改善された。
 一方、図17(a)~図17(c)に示されるように、強酸と塩基の反応によって弱酸が形成されない場合、増感体の生成量が少ないので図16と比較してレジストを高感度化することができなかった。特に、図16(c)では露光量360μC/cm2の電子ビームでパターン露光を行い、露光量4.8J/cm2のフラッド露光でドットパターン形成ができたが、図17(c)に示したように、電子ビームの露光量が400μC/cm2でも、フラッド露光の露光量を7.2J/cm2にまでも増加しても充分なドットパターンを形成することはできなかった。
 本実施形態において、感度、解像度および線幅ラフネス(LWR)のトレードオフを解消してレジスト層の感度を向上させるとともにフォトンショットノイズによるラフネスを抑制可能なレジストパターンを形成するためには、パターン露光L1によって、増感体Psを狭い空間に効率よく生成し、フラッド露光L2によって、増感体Psを用いて強酸SAcを狭い空間に効率よく分布のラフネスを低減させながら生成することが好ましい。そのためには、以下の(1)~(5)のうちの少なくともいずれかに留意することが好ましい。
(1)パターン露光L1のビーム強度分布とほぼ同一の濃度分布を有する増感体Psを生成するためには、パターン露光L1により、増感体前駆体Ppを直接イオン化するか、励起させて増感体前駆体Ppを分解および/または異性化させて増感体Psを生成することが好ましい。このように、増感体前駆体Ppの直接イオン化または励起によって増感体Psを生成することが好ましい。
(2)パターン露光L1によってレジスト層10内に生成した熱化電子が増感体前駆体Ppと反応して増感体Psを直接的に生成する場合、パターン露光L1の照射によって生成されたイオン化生成物の濃度分布はパターン露光L1のビーム強度分布とほぼ同一である。しかしながら、イオン化生物から発生した電子の熱化距離は数nmであり、また、熱化電子と増感体前駆体Ppとの反応頻度は増感体前駆体Ppの濃度に依存するが、この反応距離は通常数nmである。したがって、イオン化生成物を介して生成された増感体Psの濃度分布はパターン露光L1のビームの強度分布よりも若干広がることになる。
(3)パターン露光L1によって、強酸発生剤SPAから強酸SAcおよび/またはラジカルが生成し、強酸SAcおよび/またはラジカルが増感体前駆体Ppと反応して増感体Psを生成する。この場合、強酸SAcおよび/またはラジカルは、パターン露光L1の照射によって生成されたイオン生成物から数nm離れた地点で生成する。強酸SAcおよび/またはラジカルと増感体前駆体Ppとの反応は増感体前駆体Ppの濃度に依存するが、反応距離は数nmであるので、増感体Psの濃度分布はパターン露光L1のビーム強度の分布よりもやや広がることになる。
(4)フラッド露光ステップにおいて、フラッド露光L2によって励起された増感体Psが強酸発生剤SPAと反応して強酸SAcおよび/またはラジカルを生成し、強酸SAcおよび/またはラジカルが増感体前駆体Ppと反応して増感体Psを生成する。励起した増感体Psと強酸発生剤SPAが反応して強酸SAcおよび/またはラジカルを生成する反応は、励起した増感体Psから強酸発生剤SPAへの電子移動またはエネルギー移動であり、3次元空間での距離依存性の強いほぼ等方的な反応で開始するため、強酸SAcおよび/またはラジカルは励起した増感体Psを中心に球状に生成する。一方、生成した強酸SAcおよび/またはラジカルと増感体前駆体Ppとの反応によって増感体Psを生成する反応は、強酸SAcおよび/またはラジカルの熱拡散・衝突によって起こるので、酸やラジカルのランダムな拡散軌道に沿って生成する。
(5)3次元等方性の高い励起した増感体Psから強酸発生剤SPAへの電子移動またはエネルギー移動反応による酸生成反応が効率よく起こるように励起した増感体Psと強酸発生剤SPAを選択し、強酸発生剤SPAの濃度を高くすることが好ましい。また、強酸SAcおよび/またはラジカルのランダムな拡散軌道に沿った反応よりも、3次元等方性の高い電子移動、エネルギー移動反応による酸生成反応の比率を大きくすることがラフネスやフォトンショットノイズに起因するラフネスを低減する上で有効である。
 本発明のレジストパターン形成方法およびレジスト材料は、基板上にレジストパターンを形成する露光工程に好適に用いられる。本発明のレジストパターン形成方法、レジスト潜像形成装置およびレジスト材料によれば、レジスト層の感度を向上させることができる。

Claims (13)

  1.  基板に、ベース樹脂、増感体前駆体、強酸発生剤および塩基を含有するレジスト層を形成するレジスト層形成ステップと、
     前記レジスト層にパターン露光を行うことによって、前記増感体前駆体から増感体を生成するパターン露光ステップと、
     前記パターン露光ステップの後、前記増感体の生成された前記レジスト層にフラッド露光を行い、前記強酸発生剤から酸を発生させるフラッド露光ステップと、
     前記フラッド露光ステップの後、前記レジスト層を現像する現像ステップと
    を含有し、
     前記パターン露光ステップは、
     前記強酸発生剤から強酸を発生させるステップと、
     前記強酸と前記増感体前駆体との反応によって増感体を生成するステップと、
     前記強酸と前記塩基との反応によって弱酸を生成し、前記弱酸と前記増感体前駆体との反応によって増感体を生成するステップと
    を含む、レジストパターン形成方法。
  2.  前記レジスト層形成ステップにおいて、
     前記増感体前駆体は、1,1-ジフェニル-3-(2-ナフチル)プロパルギルアルコール、1,1-ジフェニル-3-フェニルプロパルギルアルコール、1,1-ジフェニル-3-パラクロロフェニルプロパルギルアルコール、1,1-ジフェニル-3-パラメチルフェニルプロパルギルアルコール、1,1-ジフェニル-3-パラメトキシフェニルプロパルギルアルコール、1-フェニル-1-パラクロロフェニル-3-フェニルプロパルギルアルコール、1-フェニル-1-パラメチルフェニル-3-フェニルプロパルギルアルコール、1-フェニル-1-パラメトキシフェニル-3-フェニルプロパルギルアルコール、1,1-ジフェニル-3-[4-(トリフルオロメチル)フェニル]プロパルギルアルコール、および、これらのいずれかの誘導体からなる群から選択された少なくとも1つを含む、請求項1に記載のレジストパターン形成方法。
  3.  前記レジスト層形成ステップにおいて、
     前記塩基は、光分解性塩基を含む、請求項1または2に記載のレジストパターン形成方法。
  4.  前記レジスト層形成ステップにおいて、前記レジスト層は塩基発生剤をさらに含有する、請求項1から3のいずれかに記載のレジストパターン形成方法。
  5.  前記フラッド露光ステップは、
     前記増感体を励起させ、前記励起した増感体と前記強酸発生剤との反応から前記酸を発生させる第1フラッド露光を行う第1フラッド露光ステップと、
     前記塩基発生剤から塩基を発生させる第2フラッド露光を行う第2フラッド露光ステップと
    を含む、請求項4に記載のレジストパターン形成方法。
  6.  前記フラッド露光ステップの後、前記レジスト層をポジ型とネガ型との間で反転させる変質処理を行う変質ステップをさらに包含する、請求項1から5のいずれかに記載のレジストパターン形成方法。
  7.  前記レジスト層と前記基板との間に位置する下地層を形成する下地層形成ステップをさらに包含する、請求項1から6のいずれかに記載のレジストパターン形成方法。
  8.  前記レジスト層の上にトップコートを形成するトップコート形成ステップをさらに包含する、請求項1から7のいずれかに記載のレジストパターン形成方法。
  9.  前記フラッド露光ステップにおいて、前記フラッド露光の時間は1分間以内である、請求項1から8のいずれかに記載のレジストパターン形成方法。
  10.  前記フラッド露光ステップにおいて、前記フラッド露光中に前記増感体の吸収スペクトルは変化しない、請求項1から9のいずれかに記載のレジストパターン形成方法。
  11.  ベース樹脂、増感体前駆体、強酸発生剤および塩基を含有するレジスト組成物を含むレジスト材料であって、
     前記増感体前駆体は、1,1-ジフェニル-3-(2-ナフチル)プロパルギルアルコール、1,1-ジフェニル-3-フェニルプロパルギルアルコール、1,1-ジフェニル-3-パラクロロフェニルプロパルギルアルコール、1,1-ジフェニル-3-パラメチルフェニルプロパルギルアルコール、1,1-ジフェニル-3-パラメトキシフェニルプロパルギルアルコール、1-フェニル-1-パラクロロフェニル-3-フェニルプロパルギルアルコール、1-フェニル-1-パラメチルフェニル-3-フェニルプロパルギルアルコール、1-フェニル-1-パラメトキシフェニル-3-フェニルプロパルギルアルコール、1,1-ジフェニル-3-[4-(トリフルオロメチル)フェニル]プロパルギルアルコール、および、これらのいずれかの誘導体からなる群から選択された少なくとも1つを含み、
     前記強酸発生剤から発生する強酸と前記塩基との反応によって生成される弱酸と前記増感体前駆体との反応によって増感体が生成される、レジスト材料。
  12.  前記塩基は光分解性塩基を含む、請求項11に記載のレジスト材料。
  13.  前記レジスト組成物は、塩基発生剤をさらに含有する、請求項11または12に記載のレジスト材料。
PCT/JP2016/085024 2015-11-25 2016-11-25 レジストパターン形成方法およびレジスト材料 WO2017090745A1 (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
EP16868688.9A EP3382452B1 (en) 2015-11-25 2016-11-25 Resist-pattern formation method and resist material
KR1020187017052A KR102170659B1 (ko) 2015-11-25 2016-11-25 레지스트패턴 형성방법 및 레지스트재료
US15/779,013 US11187984B2 (en) 2015-11-25 2016-11-25 Resist patterning method and resist material
JP2017552739A JP6552070B2 (ja) 2015-11-25 2016-11-25 レジストパターン形成方法およびレジスト材料
CN201680068632.9A CN108292094B (zh) 2015-11-25 2016-11-25 抗蚀剂图案形成方法和抗蚀剂材料

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2015-229767 2015-11-25
JP2015229767 2015-11-25

Publications (1)

Publication Number Publication Date
WO2017090745A1 true WO2017090745A1 (ja) 2017-06-01

Family

ID=58763833

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2016/085024 WO2017090745A1 (ja) 2015-11-25 2016-11-25 レジストパターン形成方法およびレジスト材料

Country Status (6)

Country Link
US (1) US11187984B2 (ja)
EP (1) EP3382452B1 (ja)
JP (1) JP6552070B2 (ja)
KR (1) KR102170659B1 (ja)
CN (1) CN108292094B (ja)
WO (1) WO2017090745A1 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2019240279A1 (ja) * 2018-06-14 2019-12-19 国立大学法人大阪大学 レジストパターン形成方法
JPWO2020202897A1 (ja) * 2019-03-29 2020-10-08

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2020102085A1 (en) 2018-11-14 2020-05-22 Lam Research Corporation Methods for making hard masks useful in next-generation lithography
EP3686674A1 (en) * 2019-01-28 2020-07-29 ASML Netherlands B.V. Lithographic method and system, and inline electron beam flooding tool
CN112970337B (zh) * 2019-02-14 2022-05-24 奥宝科技有限公司 用于制备具有高度密集导体的pcb产品的方法及设备
KR20210148124A (ko) * 2019-03-28 2021-12-07 제이에스알 가부시끼가이샤 레지스트 하층막 형성용 조성물, 레지스트 하층막, 레지스트 하층막의 형성 방법, 패터닝된 기판의 제조 방법 및 화합물
WO2021034567A1 (en) 2019-08-16 2021-02-25 Tokyo Electron Limited Method and process for stochastic driven defectivity healing
TW202340858A (zh) * 2021-12-13 2023-10-16 美商蘭姆研究公司 混合有機錫氧化物光阻的顯影

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04363014A (ja) * 1991-03-12 1992-12-15 Toshiba Corp レジストパターンの形成方法
JP2002174894A (ja) 2000-12-07 2002-06-21 Fuji Photo Film Co Ltd 電子線又はx線用ポジ型レジスト組成物
JP2008543033A (ja) * 2005-05-24 2008-11-27 キモンダ アクチエンゲゼルシャフト レジストを露光後ベークする方法およびその装置
JP2011252967A (ja) * 2010-05-31 2011-12-15 Canon Inc 微細パターンの製造方法
WO2014129556A1 (ja) * 2013-02-20 2014-08-28 国立大学法人大阪大学 レジストパターン形成方法、レジスト潜像形成装置、レジストパターン形成装置及びレジスト材料
JP2015172741A (ja) * 2014-02-21 2015-10-01 東京エレクトロン株式会社 光増感化学増幅型レジスト材料及びこれを用いたパターン形成方法、半導体デバイス、リソグラフィ用マスク、並びにナノインプリント用テンプレート

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4164458A (en) * 1977-03-07 1979-08-14 Allied Chemical Corporation Production of radiation crosslinked polymeric compositions using diacetylenes
JPH0521331A (ja) 1991-07-15 1993-01-29 Toshiba Corp 半導体装置の製造方法
JPH05144693A (ja) 1991-11-18 1993-06-11 Mitsubishi Electric Corp パターン形成方法
JPH0653106A (ja) 1992-07-29 1994-02-25 Nec Corp 微細レジストパターンの形成方法
JP2005150182A (ja) 2003-11-12 2005-06-09 Matsushita Electric Ind Co Ltd パターン形成方法
US8828493B2 (en) * 2009-12-18 2014-09-09 International Business Machines Corporation Methods of directed self-assembly and layered structures formed therefrom
JP6006999B2 (ja) * 2012-06-20 2016-10-12 東京応化工業株式会社 レジスト組成物及びレジストパターン形成方法
US8900802B2 (en) * 2013-02-23 2014-12-02 International Business Machines Corporation Positive tone organic solvent developed chemically amplified resist
WO2014208076A1 (en) * 2013-06-24 2014-12-31 Toyo Gosei Co., Ltd. Reagent for enhancing generation of chemical species
JP6249664B2 (ja) * 2013-07-31 2017-12-20 東京応化工業株式会社 レジスト組成物、酸発生剤、及びレジストパターン形成方法
JP6386546B2 (ja) 2014-05-21 2018-09-05 国立大学法人大阪大学 レジストパターン形成方法およびレジスト材料
JP6485298B2 (ja) 2015-09-10 2019-03-20 Jsr株式会社 感放射線性樹脂組成物及びレジストパターン形成方法
US11225505B2 (en) * 2015-09-29 2022-01-18 Monash University Antimicrobial polymyxin derivative compounds

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04363014A (ja) * 1991-03-12 1992-12-15 Toshiba Corp レジストパターンの形成方法
JP2002174894A (ja) 2000-12-07 2002-06-21 Fuji Photo Film Co Ltd 電子線又はx線用ポジ型レジスト組成物
JP2008543033A (ja) * 2005-05-24 2008-11-27 キモンダ アクチエンゲゼルシャフト レジストを露光後ベークする方法およびその装置
JP2011252967A (ja) * 2010-05-31 2011-12-15 Canon Inc 微細パターンの製造方法
WO2014129556A1 (ja) * 2013-02-20 2014-08-28 国立大学法人大阪大学 レジストパターン形成方法、レジスト潜像形成装置、レジストパターン形成装置及びレジスト材料
JP2015172741A (ja) * 2014-02-21 2015-10-01 東京エレクトロン株式会社 光増感化学増幅型レジスト材料及びこれを用いたパターン形成方法、半導体デバイス、リソグラフィ用マスク、並びにナノインプリント用テンプレート

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2019240279A1 (ja) * 2018-06-14 2019-12-19 国立大学法人大阪大学 レジストパターン形成方法
TWI704428B (zh) * 2018-06-14 2020-09-11 國立大學法人大阪大學 光阻圖案形成方法
CN112272798A (zh) * 2018-06-14 2021-01-26 国立大学法人大阪大学 抗蚀剂图案形成方法
KR20210036340A (ko) * 2018-06-14 2021-04-02 오사카 유니버시티 레지스트패턴 형성방법
JPWO2019240279A1 (ja) * 2018-06-14 2021-08-12 国立大学法人大阪大学 レジストパターン形成方法
EP3809206A4 (en) * 2018-06-14 2021-08-18 Osaka University METHOD OF MANUFACTURING A RESIST PATTERN
JP7122020B2 (ja) 2018-06-14 2022-08-19 国立大学法人大阪大学 レジストパターン形成方法
KR102590254B1 (ko) * 2018-06-14 2023-10-17 오사카 유니버시티 레지스트패턴 형성방법
US11796919B2 (en) 2018-06-14 2023-10-24 Osaka University Resist pattern formation method
JPWO2020202897A1 (ja) * 2019-03-29 2020-10-08
JP7203958B2 (ja) 2019-03-29 2023-01-13 富士フイルム株式会社 光照射装置、光照射方法、光照射装置の動作方法、及びプログラム

Also Published As

Publication number Publication date
EP3382452B1 (en) 2021-03-10
JP6552070B2 (ja) 2019-07-31
EP3382452A1 (en) 2018-10-03
EP3382452A4 (en) 2019-07-31
US11187984B2 (en) 2021-11-30
US20180356731A1 (en) 2018-12-13
CN108292094B (zh) 2021-07-20
JPWO2017090745A1 (ja) 2018-10-04
CN108292094A (zh) 2018-07-17
KR20180084922A (ko) 2018-07-25
KR102170659B1 (ko) 2020-10-27

Similar Documents

Publication Publication Date Title
WO2017090745A1 (ja) レジストパターン形成方法およびレジスト材料
JP6386546B2 (ja) レジストパターン形成方法およびレジスト材料
JP6309580B2 (ja) レジスト潜像形成方法
US10534266B2 (en) Methods and techniques to use with photosensitized chemically amplified resist chemicals and processes
US9746774B2 (en) Mitigation of EUV shot noise replicating into acid shot noise in photo-sensitized chemically-amplified resist (PS-CAR)
TWI454451B (zh) 光阻圖案改良材料、形成光阻圖案之方法及製造半導體裝置之方法
US11796919B2 (en) Resist pattern formation method
JP2006189854A (ja) 化学増幅型レジスト組成物及びそれを利用したフォトリソグラフィ方法

Legal Events

Date Code Title Description
DPE2 Request for preliminary examination filed before expiration of 19th month from priority date (pct application filed from 20040101)
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 16868688

Country of ref document: EP

Kind code of ref document: A1

ENP Entry into the national phase

Ref document number: 2017552739

Country of ref document: JP

Kind code of ref document: A

NENP Non-entry into the national phase

Ref country code: DE

ENP Entry into the national phase

Ref document number: 20187017052

Country of ref document: KR

Kind code of ref document: A

WWE Wipo information: entry into national phase

Ref document number: 1020187017052

Country of ref document: KR

WWE Wipo information: entry into national phase

Ref document number: 2016868688

Country of ref document: EP