WO2003035815A1 - Composition de lavage - Google Patents

Composition de lavage Download PDF

Info

Publication number
WO2003035815A1
WO2003035815A1 PCT/JP2002/010976 JP0210976W WO03035815A1 WO 2003035815 A1 WO2003035815 A1 WO 2003035815A1 JP 0210976 W JP0210976 W JP 0210976W WO 03035815 A1 WO03035815 A1 WO 03035815A1
Authority
WO
WIPO (PCT)
Prior art keywords
group
cleaning composition
amine
substituted
composition according
Prior art date
Application number
PCT/JP2002/010976
Other languages
English (en)
French (fr)
Inventor
Mitsushi Itano
Takehiko Kezuka
Original Assignee
Daikin Industries, Ltd.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Daikin Industries, Ltd. filed Critical Daikin Industries, Ltd.
Priority to KR1020047006091A priority Critical patent/KR100671249B1/ko
Priority to EP02770236A priority patent/EP1447440A4/en
Priority to US10/493,432 priority patent/US20050003977A1/en
Publication of WO2003035815A1 publication Critical patent/WO2003035815A1/ja

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • C11D7/04Water-soluble compounds
    • C11D7/10Salts
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/26Organic compounds containing nitrogen
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/26Organic compounds containing nitrogen
    • C11D3/30Amines; Substituted amines ; Quaternized amines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/43Solvents
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3209Amines or imines with one to four nitrogen atoms; Quaternized amines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3218Alkanolamines or alkanolimines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/50Solvents
    • C11D7/5004Organic solvents
    • C11D7/5013Organic solvents containing nitrogen
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/50Solvents
    • C11D7/5004Organic solvents
    • C11D7/5018Halogenated solvents
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/50Solvents
    • C11D7/5004Organic solvents
    • C11D7/5022Organic solvents containing oxygen
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/423Stripping or agents therefor using liquids only containing mineral acids or salts thereof, containing mineral oxidizing substances, e.g. peroxy compounds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/425Stripping or agents therefor using liquids only containing mineral alkaline compounds; containing organic basic compounds, e.g. quaternary ammonium compounds; containing heterocyclic basic compounds containing nitrogen
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/22Electronic devices, e.g. PCBs or semiconductors

Definitions

  • the present invention relates to a cleaning composition used in a semiconductor manufacturing process. More specifically, the present invention relates to a cleaning composition that does not cause surface roughness when cleaning STI, metal gates, contact holes, via holes, capacitors, and the like, peeling off resist-derived polymer, and cleaning after CMP.
  • the composition is suitably used for manufacturing semiconductor devices such as IC and LSI or liquid crystal panel devices.
  • the material for the gate electrode may be a metal such as tungsten, copper, or aluminum.
  • gate, SPM currently used as a contact hole and a capacitor cleaning composition H 2 S0 4 - H 2 0 2 - H 2 0
  • AP M NH 4 OH - H 2 0 2 - H 2 0
  • HPM HC 1 _H 2 ⁇ 2 — H 2 0
  • DHF HF—H 2 0
  • the semiconductor elements and liquid crystal panel elements such as IC or LS I is photo aluminum formed on the substrate, copper, on the insulation film of the conductive metal layer and S i 0 2 film such as an aluminum cuprous alloy It is manufactured by applying a resist evenly, forming a resist pattern by lithography technology, selectively etching the conductive metal film and insulating film, and removing the remaining resist by using asshing, polymer stripping composition, etc. ing.
  • a water-soluble organic solvent such as DMF and DMSO is required in an aqueous solution of a fluoride salt.
  • hydrofluoric acid is further added in accordance with the conditions.
  • Dual damascene process is used. Since the process involves polishing the surface using an abrasive (CMP; Chemical Mechanical Polishing), a large number of particles due to the abrasive adhere to the surface of the workpiece after the damascene process, or the surface is polished. Inside, the particles are digging into the surface of the film. After the CMP conventional oxidation film, DHF (HF-H 2 0 ) and APM (NH 4 OH- H 2 0 2 - H 2 ⁇ ) brush cleaning line using the cleaning composition, such as Have been done. However, in the damascene process, metal (A1, A1Cu, Cu, Tin, etc.) exists on the surface to be cleaned, and if the cleaning composition as described above is used, the metal surface is corroded. Easy and difficult to use.
  • CMP Chemical Mechanical Polishing
  • WO 00/31786 discloses an etchant for etching a doped oxide film and a thermally oxidized film at an equal rate, specifically, ⁇ -ammonium fluoride or ammonium monohydrogen difluoride, (ii) water and (iii) ) Describes the etching of a doped oxide film and a thermally oxidized film using an etching solution containing an organic solvent having a hetero atom such as isopropyl alcohol (IPA).
  • IPA isopropyl alcohol
  • An object of the present invention is to provide a cleaning composition for STI, metal gates, contact holes, via holes, capacitors, etc., which has cleaning properties for films and the like and does not cause roughness on the surface to be cleaned.
  • the present invention comprises (1) at least one selected from the group consisting of hydroxylamines, aliphatic amines, aromatic amines, aliphatic or aromatic quaternary ammonium salts, and hydrofluoric acid. (2) At least one kind of an organic solvent having a hetero atom; and (3) water.
  • the present invention provides a method for cleaning an object to be processed on which at least one of an STI, a metal gate, a contact hole, a via hole, and a capacitor is formed by the cleaning composition. It is an object of the present invention to provide a method for removing an adhered substance adhered to the object, including a step of cleaning.
  • the composition of the present invention comprises (1) at least one selected from the group consisting of hydroxylamines, aliphatic amines, aromatic amines, aliphatic or aromatic quaternary ammonium salts, and hydrofluoric acid (2) at least one kind of organic solvent having a hetero atom; and (3) water.
  • It is a composition that can be used to clean STI, metal gates, contact holes, via holes, capacitors, etc. in the manufacturing process.
  • the cleaning composition is used to remove the polymer remaining on the sidewalls of STI, contact holes, capacitors, metal gates, via holes, metal wiring, etc., and to remove resist residues after ion implantation and to remove single damascene. Alternatively, it can be used for peeling off the adhered polymer after dry etching in a dual damascene process, or for cleaning after CMP in a Sindal damascene-dual damascene process.
  • At least one selected from the group consisting of hydroxylamines, aliphatic amines, aromatic amines, and aliphatic or aromatic quaternary ammonium salts contained in the cleaning composition of the present invention is fluorinated. It can form a salt with hydrogen acid.
  • Hydroxylamines include linear or linear amino acids such as N, N-dimethylhydroxylamine, N-ethylhydroxylamine, ⁇ , ⁇ -getylhydroxylamine, ⁇ -propylhydroxylamine and ⁇ -phenylhydroxylamine. Branched carbon number 1
  • aliphatic amines examples include ethylamine, propylamine, isopropylamine, butylamine, hexylamine, octylamine, decylamine, dodecylamine, dimethylamine, getylamine, dipropylamine, diisopropylamine, dibutylamine, trimethylamine, triethylamine, propylamine.
  • aromatic amines include aniline, N-methylaniline, ⁇ , ⁇ -dimethylaniline, benzylamine, dibenzylamine, and ⁇ -methylbenzylamine.
  • Aliphatic or aromatic quaternary ammonium salts include aliphatic salts such as tetraethylammonium, tetrapropylammonium, tetraisopropylammonium, tetrabutylammonium, and tetraphenylammonium. Or aromatic mineral salts such as quaternary ammonium chloride, bromide, sulfate, and nitrate.
  • Preferred fluoride salts of the present invention have the general formula
  • R 1 represents fluorine and / or C 2 alkyl group having a good straight or branch be substituted with a hydroxyl group
  • a fluorine atom may be substituted phenyl group or an arsenide Dorokishiru group.
  • R 2 are the same or And a linear or branched C 2 alkyl group which may be substituted with a fluorine and / or hydroxyl group, a phenyl group which may be substituted with a fluorine atom, or a hydrogen atom.
  • the preferred hydrogendifluoride salt of the present invention has the general formula
  • the bifluoride salt contained in the composition of the present invention may be obtained by adding crystals or an aqueous solution of the bifluoride salt, and forming a bifluoride salt in the composition by adding a stoichiometric amount of the fluoride salt and HF. May be. .
  • Examples of the organic solvent having a hetero atom contained in the composition of the present invention include ⁇ , ⁇ -dimethylformamide, ⁇ , ⁇ -dimethylacetamide, dimethyl sulfoxide, ⁇ -methyl-2-pyrrolidone, and 1,3-dimethyl-2.
  • _Imidazolidinone methanol, ethanol, isopropyl alcohol (I ⁇ ⁇ ), 1-propanol, 1-butanol, 2-butanol, t-butanol, 2-methyl-1-propanol, 1-pentanol Alcohols such as 1,1-hexanol, 1-heptanol, 41-heptanol, 1-octanol, 1-nonyl alcohol, 1-decanol, 1-dodecanol; ethylene glycol, 1,2-propanediol , Propylene glycol, 2,3-butanediol, glycerin and other polyols; aceton, acetylacetone, methyl Ketones such as rutile ketone and 1,3-dihydroxyacetone; nitriles such as acetonitrile, propionitrile, ptyronitrile, isopyronitrile, and benzonitrile; aldehydes such as
  • an oxygen-containing organic solvent composed of alcohols and ketones
  • alcohols such as methanol, ethanol, n-propanol and isopropanol (IPA), and ketones such as acetone, methylethylketone and 1,3-dihydroxyacetone are more preferable.
  • ketones such as acetone, methylethylketone and 1,3-dihydroxyacetone are more preferable.
  • These organic solvents having a hetero atom can be used alone or in combination of two or more.
  • the oxygen-containing organic solvent is at least one selected from the group consisting of isopropyl alcohol, ethanol and methanol
  • the water concentration Is preferably 10% by mass or less.
  • composition of the present invention uses an alcohol as a solvent
  • at least one of (1) a fluoride salt and a bifluoride salt is used in an amount of 0.001 to 10% by mass; And 99.89% by mass, and (3) 0.01 to 10% by mass of water; preferably, (1) at least one of a fluoride salt and a bifluoride salt is 0.005. 55% by mass, (2) alcohols 85-99.985% by mass, and (3) water 0.01-1% by mass.
  • R 1 represents a C 2 alkyl group, an optionally substituted phenyl group or hydrate port cyclohexyl group with a fluorine atom with fluorine and Z or hydrate port good straight or branched optionally substituted by a cyclohexyl group.
  • R 2 is The same or different, and represents a linear or branched C i -i 2 alkyl group which may be substituted with fluorine and Z or a hydroxyl group, a phenyl group which may be substituted with a fluorine atom, or a hydrogen atom.
  • the concentration of the fluoride salt used is 10% by mass or less and / or the general formula
  • Concentration is 0.001 to 1 0% by weight of heavy fluoride salt represented by, (3) water concentration of 1 0% by weight or less, (2 A) a composition in which the balance is at least one selected from the group consisting of isopropyl alcohol, ethanol and methanol.
  • composition of the present invention can further contain (4) a surfactant.
  • a surfactant one or more of anionic, cationic and nonionic surfactants may be contained.
  • anionic surfactants are preferred.
  • Salts include metal salts such as alkali metals (eg, sodium, potassium), ammonium salts, primary, secondary or tertiary amine salts.
  • the metal atom may be monovalent or divalent. Among these, a sulfonic acid type anionic surfactant is preferable.
  • CF 3 (CF 2 ) a COONa CF 3 (CF 2 ) a COONa
  • (CF 3 ) 2 CF (CF 2 ) a COONa HCF 2 (CF 2 ) a COONa
  • ph is phenylene group, c is shown integer of 5 to 20, d is an integer of 0 ⁇ 20 ⁇ ; C n H m C 6 H 3 (S0 3 H) O ph (S0 3 H) ⁇ ph is a phenylene group, n is an integer of 1 to 30, m is an integer of 3 to 61 ⁇ , C n H m ph (SO3H) (ph is a phenylene group, n is 1 to 30) And m represents an integer of 3 to 61 ⁇ ; and metal salts, ammonium salts, primary amine salts, secondary amine salts, and tertiary amine salts thereof.
  • anionic surfactant examples include, among those exemplified above, C n H m C 6 H 3 (SO3H) Op h (SO3H) (ph is a phenylene group, n is an integer of 1 to 30, m is 3 a compound represented by ⁇ an integer of to 61 and C n H m ph (S0 3 H) ⁇ ph, n and m are represented by a is ⁇ as defined in the compounds and their metal salts, Ammonium salts, primary, secondary and tertiary amine salts are preferably used. It is.
  • the group represented by C n H m is a group satisfying the condition that n is an integer of 1 to 30 and m is an integer of 3 to 61.
  • an aliphatic alkyl group having a branch an aliphatic alkenyl group having at least one double bond, an alicyclic alkyl group which may have a branch, an alicyclic alkyl group which may have a branch. Examples thereof include a J group and an aromatic alkyl group.
  • a hydrophilic group is represented by the general formula: R ′ (CH 2 CH 2 O) q R ′′ or —R′O (CH2CH2O) Q R ′′ ⁇ wherein R is a hydrogen atom or carbon atom An alkyl group having 1 to 10 carbon atoms, a hydrocarbon group having 1 to 20 carbon atoms where R 'hydrogen atom may be substituted with a fluorine atom, and Q represents an integer of 0 to 30.
  • R ′ CH 2 O
  • R ′′ —R′O (CH2CH2O) Q R ′′
  • R is a hydrogen atom or carbon atom
  • R is a hydrogen atom or carbon atom
  • the following types of surfactants are preferred.
  • R'" Examples of the cationic surfactant R 2 Grade Amin represented by 2 NH, R "'3 tertiary Amin represented by 3 N, [R' "4 N] M in quaternary Amin and the like (here represented, R"'are the same or different carbon atoms having also a good linear or branched substituted with a fluorine atom or OH group 1 It represents a phenyl group or a hydrogen atom which may be substituted with an alkyl group, a fluorine atom or an OH group of 30.
  • M ' is a monovalent anion (for example, represents CD).
  • the content of the surfactant is not particularly limited as long as the intended effect of the present invention is exhibited, but is usually about 0.0001 to 10% by mass, and preferably about 0.001 to 5% by mass. And particularly preferably about 0.01 to 1% by mass.
  • the content is preferably 0.01 to 10% by mass.
  • composition of the present invention contains an anionic surfactant
  • content of water is
  • It is preferably at most 60% by mass.
  • composition of the present invention contains an anionic surfactant
  • at least one of (1) a fluoride salt and a bifluoride salt is usually used in an amount of 0.001 to 10% by mass, and (2) a hetero atom. 20 to 99.988% by mass of an organic solvent having (3) water of 0.01 to 60% by mass and (4) anion-based surfactant of 0.01 to 10% by mass.
  • at least one of (1) a fluoride salt and a bifluoride salt is contained in an amount of 0.05 to 10% by mass, and (2) an organic solvent having a hetero atom of 49.0 to 99.997. 5% by mass, (3) 0.01 to 40% by mass of water, and (4) 0.01 to 1% by mass of an anionic surfactant.
  • NR 4 F (R is the same or different and has 1 to 12 carbon atoms having a straight or branched chain which may be substituted by a fluorine atom, preferably 1 to 4 Represents an alkyl group, a phenyl group which may be substituted by a fluorine atom or a hydrogen atom) or less, and / or NR 4 HF 2 (where R is as defined above).
  • the concentration of the bifluoride salt represented by the formula (1) is 0.001 to 5% by mass, (3) the water concentration is 60% by mass or less, and (4) the concentration of the anionic surfactant is 0.001%.
  • the rest is preferably a polymer stripping composition in which the balance is at least one selected from the group consisting of isopropyl alcohol, ethanol and methanol.
  • the composition of the present invention may further contain (5) an anticorrosive.
  • the anticorrosive include aromatic hydroxy compounds such as catechol, pyrogallol, and oxine; triazole compounds such as benzotriazole and tolyltriazole; and derivatives thereof; and sulfoxyl groups such as fluoric acid, salicylic acid, and azelaic acid.
  • aminopolycarboxylic acids such as ethylenediaminetetraacetic acid, phosphonic acids such as 1,2-propanaminetetramethylphosphonic acid, chelating agents such as cupron, pyridine derivatives, 2-mercaptobenzothiazolyl sodium And the like, quaternary ammonium salts such as tetramethylammonium formate, sorbitol, arabi], saccharides such as amylose, and derivatives thereof.
  • the content of the anticorrosive is not particularly limited as long as the intended effect of the present invention is exhibited, Usually, it is about 0.01 to 30% by mass, and preferably about 0.5 to 10% by mass.
  • composition containing (1) at least one of a fluoride salt and a bifluoride salt of the present invention; (2) an organic solvent having a hetero atom; and (3) a composition containing water with respect to THO X and BPSG is as follows: , 25 at 10 OA / min or less, preferably 80 A / min or less, more preferably 6 OA / min or less, particularly preferably 50 A / min or less.
  • the composition of the present invention has low corrosiveness to substrates and metals such as A1, Al-Cu, Cu, W, Ti, and TiN that are easily corroded, and is further derived from a resist or the like.
  • the composition of the present invention is used as at least one kind of cleaning composition of a metal gate, a contact hole, a via hole, and a capacitor in a semiconductor manufacturing process even when a material of a gate electrode contains a metal.
  • the obtained washed product is free from contamination and has good adhesion to metals and the like.
  • an object to be cleaned is a substrate in the middle of a semiconductor manufacturing process. For example, after a contact hole is formed, a state before a metal or the like is embedded is cleaned.
  • the cleaning composition of the present invention comprises, in a semiconductor manufacturing process, a resist pattern formed on an insulating layer film on a silicon substrate serving as a semiconductor substrate and a processing layer formed of a conductive layer formed thereon. After forming the layer to be processed in a predetermined pattern by dry etching using the resist as a mask, the obtained semiconductor substrate can be used for cleaning. In such a process, for example, a metal gate and a metal wiring are formed on the semiconductor substrate.
  • the cleaning composition of the present invention comprises, in a semiconductor manufacturing process, forming a conductive layer in a predetermined pattern on a silicon substrate of a semiconductor substrate, forming an insulating layer on the conductive layer, and then forming the conductive layer.
  • the obtained semiconductor substrate is cleaned.
  • Ma This step corresponds to a dry etching step in a single or dual damascene process.
  • the cleaning of the STI, the metal gate, the contact hole, the via hole and the capacitor by the cleaning composition of the present invention can be performed, for example, by treating at least one of the objects to be treated (for example, at least the STI, the metal gate, the contact hole, the via hole and the capacitor) (A semiconductor substrate formed as one) is immersed in the composition, and treated at, for example, about 15 to 40 ° C., preferably about room temperature for about 0.5 to 30 minutes.
  • the cleaning composition may be brought into contact with the object to be processed.
  • the cleaning object may be supplied by supplying a liquid while rotating the object to be processed, or the cleaning composition may be applied to the object to be processed.
  • the cleaning may be continued by spraying with a spray, or the object may be immersed in the cleaning composition for cleaning.
  • the semiconductor substrate cleaned using the composition of the present invention can be obtained by a conventional method (for example, Atlas of IC Technologies: An Introduction to VTJSI Processes by W. Maly, 1987 by The Benjamin / Cummings Publishing Company Inc. According to the method described in (1), various types of semiconductor devices can be processed.
  • the cleaning composition of the present invention has low corrosiveness to substrates and metals such as A1, A1—Cu, Cu, W, Ti, and Tin that are easily corroded, and is further derived from resists and the like. It has high detergency by treating the polymer at low temperature and for a short time. Therefore, the cleaning composition of the present invention is used, for example, in a semiconductor manufacturing process, for the purpose of removing the resist polymer remaining on the sidewalls of STI, metal gates, contact holes, via holes, capacitors, etc. after etching. It can be used as a polymer stripping composition.
  • the cleaning composition of the present invention can be used for forming an STI, a metal gate, a contact hole, a via hole, a capacitor or an n + or; p + region forming step, or a deposited polymer after dry etching in a single or dual damascene process. It can also be used for peeling off.
  • the peeling of the polymer using the composition of the present invention is performed by immersing an object to be treated (for example, a semiconductor substrate formed with at least one of STI, a metal gate, a contact hole, a via hole, and a capacitor) into the composition. , for example, about 15 to 40 ° C., preferably The treatment can be carried out at about room temperature for about 0.5 to 30 minutes.
  • the polymer can be peeled off by bringing the composition into contact with the object to be treated.For example, a liquid may be supplied from above while rotating the object to be treated, or the polymer may be washed. The treatment may be continued by spraying the composition on the object to be washed.
  • composition of the present invention is preferably used for removing a polymer remaining on a semiconductor substrate having a fine pattern (for example, a pattern at a distance of about 0.001 to 10 m).
  • the semiconductor substrate from which the polymer was stripped using the composition of the present invention was prepared by a conventional method (eg, Atlasoi IC Ifeclmologies: An Introduction to VLSI Processes by W. Maly, 1987 by The Benjamin / Cummings Publishing Company). Inc.) can be processed into various types of semiconductor devices.
  • the cleaning composition of the present invention (especially when used for polymer stripping) can be advantageously used for resists (including negative and positive resists) that can be developed using an aqueous alkali solution.
  • the resist examples include (i) a positive resist containing a naphthoquinonediazide compound and a novolak resin, (ii) a compound that generates an acid upon exposure, a compound that is decomposed by an acid and increases the solubility in an aqueous solution of an alkaline solution, and (Iii) a compound capable of generating an acid upon exposure to light, and a positive resist containing an alkali-soluble resin having a group that is decomposed by an acid and increases the solubility in an aqueous solution of an aqueous solution.
  • Examples include, but are not limited to, a type resist, and (iV) a compound which generates an acid by light, a crosslinking resist, and a negative type resist containing an alkali-soluble resin.
  • the composition of the present invention may be washed or stripped.However, the polymer may be washed or stripped without performing the asshing. Good.
  • the cleaning composition of the present invention can be used not only in a semiconductor manufacturing process but also in a liquid crystal panel device manufacturing process.
  • a cleaning process is required to remove the abrasive on the surface after CMP in the Sindal damascene or dual damascene process.
  • the cleaning composition of the present invention can also be used as a surface cleaning composition after CMP in a semiconductor manufacturing process.
  • the cleaning after CMP using the composition of the present invention may be performed, for example, by immersing the object to be cleaned in the composition at about 15 to 40 ° C., preferably at about room temperature for about 0.1 to 30 minutes,
  • the composition can be run on the purified material at about 15 to 40 ° C., preferably at about room temperature, for about 1 second to about 10 minutes.
  • a brush may or may not be used in the washing step, but it is preferable to use a brush in combination because the slurry removal efficiency is improved.
  • the post-CMP cleaning can also be performed by immersing the object to be treated in the composition of the present invention and performing ultrasonic cleaning (megasonic).
  • a CMP process is performed on a processed layer in which a conductive layer and an insulating layer are mixed on one surface of a semiconductor substrate or a processed layer formed of a conductive layer, for example, a single process for forming a plug or a wiring is performed. This can be performed after performing CMP in a damascene process or a dual damascene process.
  • the damascene process is also used, for example, in a manufacturing process of a liquid crystal panel element, and the composition of the present invention may be used for cleaning after performing a CMP in a single damascene process or a dual damascene process included in the process. it can.
  • the present invention includes a step of forming a processed layer in which a conductive layer and an insulating layer are mixed on one surface of a semiconductor substrate by single damascene or dual damascene, and a step of cleaning the processed layer using the cleaning composition of the present invention.
  • the present invention also includes a method for manufacturing a semiconductor device characterized by including:
  • a semiconductor substrate that has been subjected to post-CMP cleaning using the cleaning composition of the present invention can be prepared by a conventional method (for example, Atlas of IC Technologies: An Introduction to VLSI Processes by W. Maly, 1987 by The Benjamin / Cummings). According to the method described by Publishing Company Inc.), various types of semiconductor devices can be processed.
  • the etching rate of the oxide film is Rudo 1 f R ease This was performed by measuring the film thickness before and after etching using an Auto EL-III ellipsometer from ach.
  • the etching rate of the metal was determined by measuring the resistivity before and after etching using a resistivity meter K-705 RS, Kyowa Riken Co., Ltd., and the film thickness was determined from the resistivity.
  • the etching rate of the following composition was calculated by etching each film at 23 ° C using each composition, and dividing the difference between the film thickness before and after the etching process by the etching time. It is.
  • the surface roughness of the oxide film was observed with a scanning electron microscope (S-5000), Hitachi, Ltd.
  • Test Example 1 Measurement of oxide film etching rate and confirmation of surface roughness
  • a fluoride salt, a bifluoride salt, water and an organic solvent having a hetero atom were mixed in the proportions shown in Examples 1 to 15 and Comparative Examples 1 to 9, and THOX (thermal oxide film), BPSG
  • THOX thermal oxide film
  • BPSG boron trifluoride
  • the etching rate and the surface roughness after etching were measured on the test substrate on which either the film or the PE-TEOS film was formed.
  • Roughness of THO X, BPSG, PE—TEOS was evaluated on a three-point scale: "A (no roughness)", "B (slightly rough)", and "C (rough)". Table 1 shows the results.
  • composition having the composition shown below was prepared according to a standard method.
  • Example 1 C2H5NH3 ⁇ HF2 (0.85wt%), 7 (0.59wt%), remaining IPA
  • Example 3 C2H5NH3-HF2 (0.85wt%), water (0.59wt%), remaining ethanol
  • Example 4 C2H5NH3-HF2 (0.85wt%), water (0.59wt ° /.), Remaining acetone
  • Example 5 C2H5NH3-HF2 (0.85wt%), water (0.59wt%), residual acetic acid
  • Example 6 C2H5NH3 ⁇ F (1.95wt%), 7_K (1.12wt%), remaining IPA
  • Example 7 C2H5NH3-HF2 (0.425wt%), water (0.30wt%), remaining IPA
  • Example 8 HOC2H4NH3 ⁇ HF2 (1.01wt%), water (0.4wt%), remaining IPA
  • Example 10 (CH3) 3NH2 ⁇ HF2 (0.99 wt%), water (1.24 wt%), remaining IPA
  • Example 11 1 C5H11NH3.HF2 (1.25 wt%), water (0.40 wt%), remaining IPA
  • Example 13 C8H17NH3 ⁇ HF2 (2.68wt%), water (0.4wt ° /.), Remaining IPA
  • Example 13 C10H21NH3 ⁇ HF2 (1.97wt%), water (0.4wt ° / 0 ), remaining IPA
  • Example 14 (C2H5) 4N HF2 (l.69wt%), water (3.14wt%), remaining IPA
  • Example 15 (C6H5) CH2NH3 HF2 (0.74wt%), 7_K (0.2wt%) Comparative example 1: NH4 ⁇ HF2 (0.01wt%) water (0.3wt%), remaining IPA
  • Comparative Example 7 CH3NH3-HF2 (0.21wt%) water (0.26wt%), remaining IPA Comparative Example 8: HONH3 ⁇ HF2 (0.73wt%), water (1.13wt%), remaining IPA Comparative Example 9: (CH3) 4N-HF2 (l.l3wt%) water (2.99wt%), remaining IPA
  • Example 3 a fluoride salt, a bifluoride salt, water and an organic solvent having a hetero atom were used.
  • test substrates on which the 181 wafers, the Cu wafers, the W wafers, the WN wafers, the Ti wafers, and the TiN wafers were formed were each etched at 23 ° C. Was determined for the film. Table 2 shows the results. Comparative Example 10 0 to 1 2
  • composition having the composition shown below was prepared according to a standard method.
  • Example 7 In the composition of Example 7 (C2H5NH3HF2 (0.425 wt%), water (0.3 wt%), remaining IPA), the concentration of C2H5NH3HF2 was fixed at 0.425 wt%, and the ratio of water and IPA was Etching Al-Cu, Cu, W, WN, Ti, TN under the same conditions as in Test 2 by changing as shown in Table 3 (only the amount of water is shown; the rest is IPA). The drip was measured. Table 3 shows the results. Table 3
  • Test example 4 Polymer cleaning test
  • a resist pattern composed of a naphthoquinone Z nopolak resin-based positive photoresist was formed on a silicon wafer having an interlayer insulating film on the surface and a Cu wiring layer under the interlayer insulating film according to a conventional method.
  • the silicon wafer having the obtained resist pattern was subjected to dry etching treatment of an oxide film according to a conventional method to form a via hole. Next, an assing process was performed with an oxygen gas to remove the remaining resist.
  • the obtained silicon wafer having via holes is immersed in any one of the compositions of Examples 3, 6, 7, 8, 12 and Comparative Examples 1, 10 to 12 at 23 ° C. for 10 minutes to polymerize the silicon wafer.
  • -Deposited material was removed.
  • the treated wafer was rinsed with pure water, and the peeling state of the silicon wafer assing residue (polymer-deposited material) and the presence or absence of corrosion of the wiring metal were evaluated by SEM (scanning electron microscope) photograph observation.
  • the delamination status of the polymeric deposit was evaluated in two stages of "good” and "incomplete” .
  • the presence or absence of wiring metal corrosion was evaluated in two stages of "presence" and "absence” of corrosion.
  • the composition of the present invention has a property of cleaning a polymer (resist) and has a property of not corroding a wiring metal. Therefore, it was found that the composition of the present invention is suitable as a cleaning composition for STI, metal gates, contact holes, via holes, capacitors and the like. Further, it has been found that the cleaning composition of the present invention can be suitably used for peeling off the polymer remaining on the side walls of STI, metal gates, contact holes, via holes, capacitors and the like. .

Landscapes

  • Chemical & Material Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Wood Science & Technology (AREA)
  • Organic Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Health & Medical Sciences (AREA)
  • Emergency Medicine (AREA)
  • Inorganic Chemistry (AREA)
  • Detergent Compositions (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Weting (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)

Description

明細書
洗浄用組成物
技術分野
本発明は、 半導体製造工程で用いる洗浄用組成物に関する。 具体的には、 ST I、 メタルゲート, コンタクトホール、 ビィァホール、 キャパシ夕などの洗净、 レジスト由来のポリマー剥離や CMP後洗浄時において、 表面の荒れを起こさな い洗浄用組成物に関する。 該組成物は、 I Cや LS I等の半導体素子或いは液晶 パネル素子の製造に好適に使用される。
背景技術
従来、 半導体素子におけるゲート電極の材料としては poly-Siが使用されてい たが、 微細化に伴い、 ゲート電極の材料がタングステン、 銅、 アルミニウムなど の金属となる可能性がある。 しかし、 ゲート、 コンタクトホール及びキャパシタ 洗浄用組成物として現在使用している SPM (H2S04— H202— H20)、 AP M (NH4OH - H202— H20)、 HPM (HC 1 _H22— H20)、 DHF (H F— H20) 等は金属を腐食しやすいので、 レジストなどに由来するポリマー、 自然酸化膜などを除去でき、 且つ金属を腐食しにくい洗浄用組成物が求められて いる。
一方、 I Cや LS I等の半導体素子や液晶パネル素子は、 基板上に形成された アルミニウム、 銅、 アルミニウム一銅合金等の導電性金属膜や S i 02膜等の絶 縁膜上にフォトレジストを均一に塗布し、 リソグラフィー技術によりレジストパ ターンを形成し、 導電性金属膜や絶縁膜を選択的にエッチングし、 残存するレジ ストをアツシング、 ポリマ一剥離用組成物等により除去して製造されている。 ポリマー剥離用組成物としては、 例えば特開平 9一 197681号公報及び特 開 2000— 47401号公報に記載されるように、 フッ化物塩水溶液に、 DM F、 DM SOなどの水溶性有機溶媒、 必要に応じてさらにフッ化水素酸を加えた ものが知られている。 しかしながら、 金属に対する低腐食性とポリマ一の高剥離 性は両立することが困難であり、 従来のポリマー剥離用組成物はこれらのバラン スにおいて改善の余地があった。
また、 I Cの素子構造が複雑になり、 多層配線ィ匕が進むと、 配線形成にシング ルダマシンゃデュアルダマシンプロセスが使用される。 当該プロセスには研磨剤 を用いた表面の研磨 (CMP; Chemical Mechanical Polishing) が含まれるた め、 ダマシンプロセス後の被処理物の表面には、 研磨剤に起因する粒子が数多く 付着したり、 研磨中に膜の表面に粒子が食い込んだ状態になっている。 通常の酸 化膜の CMPを行った後には、 DHF (HF-H20) や APM (NH4OH— H 202— H2〇) 等の洗浄用組成物を用いたブラシ洗浄が行われている。 しかし、 ダマシン工程では、 被洗浄面に金属 (A 1、 A 1 Cu、 Cu、 T i N、 など) が 存在しており、 上記したような洗浄用組成物を用いると、 メタル表面が腐食され やすく、 使用し難い。
WO 00 / 31786は、ド一プ酸化膜と熱酸化膜を等速度でエッチングする エッチング液を開示し、具体的には ωフッ化アンモニゥムまたは一水素二フッ化 アンモニゥム、 (ii)水及び(iii)イソプロピルアルコール (I PA)等のへテロ原 子を有する有機溶媒を含むエッチング液を用いたド一プ酸化膜と熱酸化膜のエツ チングが記載されている。 WOO 0Z31786に開示される NH4Fな^し N H4F · ^1?/水/1 P Aからなるエッチング液を用いて熱酸化膜をエッチング すると、 ェツチング後の酸化膜表面の荒れが起こる不具合があつた。
本発明の目的は、 金属 (A l、 Al— Cu、 Cu、 W、 WN、 T i、 T i N) に対する低腐食性とシリコン酸ィ匕膜に対する低ダメージ性とポリマ一や自然酸ィ匕 膜などの洗浄性を兼ね備え、 かつ、 被洗浄表面の荒れを起こさない, ST I、 メ タルゲート、 コンタクトホール、 ビィァホール、 キャパシ夕などの洗净用組成物 を提供することである。
発明の開示
即ち、本発明は (1)ヒドロキシルアミン類、脂肪族アミン類、芳香族ァミン類、 脂肪族ないし芳香族第 4級アンモニゥム塩からなる群から選ばれる少なくとも 1 種とフッ化水素酸から形成されたフッ化物塩及び重フッ化物塩の少なくとも 1 種; (2)ヘテロ原子を有する有機溶媒の少なくとも 1種;及び (3)水を含むことを 特徴とする洗浄用組成物を提供するものである。
また、 本発明は、 ST I、 メタルゲート、 コンタクトホール、 ビィァホ一ル及 びキャパシタの少なくとも 1つを形成した被処理物を前記洗浄用組成物により洗 浄する工程を含む、 該被処理物に付着した付着物を剥離する方法を提供するもの である。
本発明の組成物は(1)ヒドロキシルァミン類、 脂肪族ァミン類、 芳香族ァミン 類、 脂肪族ないし芳香族第 4級アンモニゥム塩からなる群から選ばれる少なくと も 1種とフッ化水素酸から形成されたフッ化物塩及び重フッ化物塩の少なくとも 1種;(2)ヘテロ原子を有する有機溶媒の少なくとも 1種;及び (3)水を含むことを 特徵とする組成物であり、 半導体の製造工程において、 S T I、 メタルゲート, コンタクトホール、 ビィァホール、 キャパシ夕などの洗浄に用いることができる 組成物である。 該洗浄用組成物は、 S T I、 コンタクトホール、 キャパシタ、 メ タルゲート、 ビィァホール、 メタル配線などの側壁に残存したポリマー剥離のた めに、 またイオンィンプランテ一ション後のレジスト残渣除去やシングルダマシ ン又はデュアルダマシンプロセスにおけるドライエツチング後の付着ポリマーの 剥離、 或いはシンダルダマシンゃデュアルダマシンプロセスにおける C M P後の 洗浄のために用いることもできる。
本発明の洗浄用組成物に含まれるヒドロキシルァミン類、 脂肪族ァミン類、 芳 香族ァミン類、 脂肪族ないし芳香族第 4級ァンモニゥム塩からなる群から選ばれ る少なくとも 1種は、 フッ化水素酸と塩を形成し得るものである。
ヒドロキシルァミン類としては、 N,N-ジメチルヒドロキシルァミン、 N-ェチル ヒドロキシルァミン、 Ν,Ν-ジェチルヒドロキシルァミン、 Ν-プロピルヒドロキシ ルアミン、 Ν-フエニルヒドロキシルアミンなどの直鎖又は分枝を有する炭素数 1
〜 4のアルキル基又はフエニル基で 1又は 2置換されたヒドロキシルァミンが挙 げられる。
脂肪族ァミン類としては、 ェチルァミン、 プロピルァミン、 イソプロピルアミ ン、 プチルァミン、 へキシルァミン、 ォクチルァミン、 デシルァミン、 ドデシル ァミン、 ジメチルァミン、 ジェチルァミン、 ジプロピルァミン、 ジイソプロピル ァミン、 ジブチルァミン、 トリメチルァミン、 トリェチルァミン、 トリプロピル ァミン、 トリイソプロピルァミン、 トリプチルァミンなどの直鎖又は分枝を有す る炭素数 1〜 8のアルキル基で 1、 2または 3置換された脂肪族アミン;モノフ ルォロメチルァミン、 ジフルォロメチルァミン、 トリフルォロメチルァミン、 パ 一フルォロェチルァミン、 パ一フルォロプロピルァミン、 パーフルォロイソプロ ピルァミン、 パーフルォロプチルァミン、 パーフルォ口へキシルァミン、 パーフ ルォロォクチルァミン、 ジ (パーフルォロメチル) ァミン、 ジ (パーフルォロェ チル) ァミン、 ジ (パ一フルォロプロピル) ァミン、 ジ (パーフルォロイソプロ ピル) ァミン、 ジ (パ一フルォロブチル) ァミン、 トリ (パーフル才ロメチル) ァミン、 トリ (パ一フルォロェチル) ァミン、 トリ (パ一フルォロプロピル) ァ ミン、 トリ (パ一フルォロイソプロピル) ァミン、 トリ (パーフルォロブチル) ァミンなどの直鎖又は分枝を有する少なくとも 1つのフッ素原子含有 C丄 ~ 8アル キル基で 1、 2または 3置換された脂肪族ァミン;モノエタノールァミン、 ェチ レンジァミン、 2— ( 2—アミノエチルァミノ) エタノール、 ジエタノールアミ ン、 2一ェチルアミノエタノ一ル、 ジメチルアミノエ夕ノール、 工チルジェ夕ノ —ルアミン、シクロへキシルアミン、ジシクロへキシルアミンなどが挙げられる。 芳香族ァミン類としては、 ァニリン、 N-メチルァニリン、 Ν,Ν-ジメチルァニリ ン、 ベンジルァミン、 ジベンジルァミン、 Ν—メチルべンジルァミンなどが挙げ られる。
脂肪族ないし芳香族第 4級アンモニゥム塩としては、 テトラエチルアンモニゥ ム、 テトラプロピルアンモニゥム、 テトライソプロピルアンモニゥム、 テトラ プチルァンモニゥム、 テトラフエニルァンモニゥムなどの脂肪族ないし芳香族 第 4級アンモニゥムのクロライド、 ブロマイド、 硫酸塩、 硝酸塩などの鉱酸塩 が挙げられる。
本発明の好ましいフッ化物塩 (fluoride)は、 一般式
NCR ^CR ^ g . F
(R 1はフッ素及び/又はヒドロキシル基で置換されてもよい直鎖もしくは分 岐を有する C 2アルキル基、フッ素原子で置換されてもよいフエニル基又はヒ ドロキシル基を示す。 R 2は同一又は異なって、 フッ素及び/又はヒドロキシル 基で置換されてもよい直鎖もしくは分岐を有する C 2アルキル基、フッ素原子 で置換されてもよいフエ二ル基又は水素原子を示す。 ) で表される。
本発明の好ましい重フッ化物塩 (hydrogendifluoride) は、 一般式
N(R 1)(R 2)3 · H F 2 (R 1及び R 2は前記に定義されるとおりである。) で表される。
本発明組成物に含まれる重フッ化物塩は、 重フッ化物塩の結晶又は水溶液を加 えてもよく、 理論量のフッ化物塩と H Fを加えて、 組成物中で重フッ化物塩を形 成させてもよい。 .
本発明組成物に含まれるヘテロ原子を有する有機溶媒としては、 Ν,Ν—ジメチ ルホルムアミド、 Ν,Ν—ジメチルァセトアミド、 ジメチルスルホキシド、 Ν—メ チルー 2—ピロリドン、 1, 3—ジメチルー 2 _イミダゾリジノン;メタノール、 エタノール、 イソプロピルアルコール(I Ρ Α)、 1一プロパノール、 1ーブタノ ール、 2ーブ夕ノール、 t—ブタノ一ル、 2—メチルー 1—プロパノール、 1一 ペン夕ノール、 1一へキサノール、 1—ヘプタノ一ル、 4一ヘプ夕ノール、 1一 ォク夕ノール、 1 _ノニルアルコール、 1ーデカノール、 1 -ドデカノールなど のアルコール類;エチレングリコール、 1, 2—プロパンジオール、 プロピレン グリコール、 2, 3—ブタンジオール、 グリセリンなどのポリオール類;ァセト ン、 ァセチルアセトン、 メチルェチルケトン、 1,3-ジヒドロキシアセトン等のケ トン類;ァセトニトリル、 プロピオ二トリル、 プチロニトリル、 イソプチロニ卜 リル、 ベンゾニトリル等の二トリル類;ホルムアルデヒド、 ァセトアルデヒド、 プロピオンアルデヒドなどのアルデヒド類;エチレングリコ一ルモノメチルエー テル、 エチレングリコールモノェチルェ一テル、 ジェチレングリコールモノブチ ルェ一テルなどのアルキレングリコールモノアルキルエーテル;テ卜ラヒドロフ ラン、 ジォキサン等の環状エーテル類; トリフルォロエタノール、 ペンタフルォ 口プロパノ一ル、 2,2,3,3-テトラフルォロプロパノール等のフッ素アルコール;ス ルホラン及び二トロメタンが例示される。 より好ましくはアルコール類およびケ トン類からなる含酸素有機溶媒が挙げられる。 具体的には、 メタノール、 ェ夕ノ —ル、 n-プロパノール、 イソプロパノール (IPA)などのアルコール類、 アセトン、 メチルェチルケトン、 1,3-ジヒドロキシアセトンなどのケトン類がより好ましく 挙げられる。 これらへテロ原子を有する有機溶媒は、 単独で、 又は 2種以上組み 合わせて用いることができる。
本発明組成物において、 含酸素有機溶媒がイソプロピルアルコール、 エタノー ル及びメ夕ノールからなる群から選ばれる少なくとも 1種である場合、 水の濃度 が 1 0質量%以下であることが好ましい。
本発明の組成物は、 アルコール類を溶媒とした場合、 通常 (1)フッ化物塩及び重 フッ化物塩の少なくとも 1種を 0 . 0 0 1〜1 0質量%、 (2)アルコール類 8 0〜 9 9 . 9 8 9質量%、 及び (3)水を 0 . 0 1〜1 0質量%含み;好ましくは (1)フッ 化物塩及び重フッ化物塩の少なくとも 1種を 0 . 0 0 5〜5質量%、 (2)アルコー ル類 8 5〜9 9 . 9 8 5質量%、 及び (3)水を 0 . 0 1〜1 0質量%含む。
より具体的には、 (1) 一般式
· F
( R 1はフッ素及び Z又はヒド口キシル基で置換されてもよい直鎖もしくは分岐 を有する C 2アルキル基、フッ素原子で置換されてもよいフエニル基又はヒド 口キシル基を示す。 R 2は同一又は異なって、 フッ素及び Z又はヒドロキシル基 で置換されてもよい直鎖もしくは分岐を有する C i ~ i 2アルキル基、フッ素原子で 置換されてもよいフエニル基又は水素原子を示す。)で表されるフッ化物塩の濃度 が 1 0質量%以下及び/又は一般式
N(R (R 2)3 · H F 2
(R 1及び R 2は前記に定義されるとおりである。) で表される重フッ化物塩の濃 度が 0.001〜 1 0質量%、 (3)水濃度が 1 0質量%以下、 (2)残部がィソプロピルァ ルコール、 エタノール及びメタノールからなる群から選ばれる少なくとも 1種で ある組成物が好ましい。
本発明の組成物は、 さらに、 (4)界面活性剤を含有することもできる。 界面活性 剤としては、 ァニオン系、 カチオン系及び非イオン系界面活性剤のいずれか 1種 又は 2種以上を含有していてもよい。 これら界面活性剤としては、 ァニオン系界 面活性剤が好ましい。
ァニオン系界面活性剤としては、 親水基が一般式 一 C〇OM、 一 S 03M、 一 O S 03M (Mは水素原子、 アンモニゥム又は金属原子を示す)であるそれぞれ力 ルボン酸型、 スルホン酸型、 硫酸エステル型の界面活性剤が好ましい。 塩として は、 アルカリ金属 (例えばナトリウム、 カリウム) などの金属塩、 アンモニゥム 塩、 第一、 第二又は第三アミン塩が挙げられる。 金属原子は、 1価又は 2価であ つてもよい。 これらの中でもスルホン酸型のァニオン系界面活性剤が好ましい。 カルボン酸型の界面活性剤としては、 CF3 (CF2) aCOOH、 (CF3) 2C F (CF2) aC〇OH、 HCF2 (CF2) aC〇OH、 CF3 (CF2) a (CH2) bC〇OH、 CF3 (CF2) aCF = CH (CH2) bCOOH、 CI (CF2CFC1) pCF2COOH {ただし、 aは 2〜17の整数、 bは 1〜2の整数、 pは 1〜9 の整数である。 }並びにこれらのアルカリ金属塩、アンモニゥム塩、第一アミン塩、 第二ァミン塩、 第三ァミン塩などが挙げられる。
これらの具体例としては、 CF3 (CF2) aCOONa、 (CF3) 2CF (CF2) aCOONa、 HCF2 (CF2) aCOONa、 CF3 (CF2) a (CH2) bCOO Na、 CF3 (CF2) aCF = CH (CH2) bCO〇Na、 CI (CF2CFC1) p CF2COON aなどが挙げられる (a, bは前記に定義される通りである)。
スルホン酸型の界面活性剤としては、 CcH2c+1S〇3H、 CcH2c+10 (CH2C H20) dS03H又は CcH2c+1— ph— S03H {式中、 phはフエ二レン基、 c は 5〜20の整数、 dは 0〜20の整数を示す } ; CnHmC6H3 (S03H) O ph (S03H) {phはフエ二レン基、 nは 1〜30の整数、 mは 3〜61の 整数を示す } 、 CnHmp h (SO3H) {phはフエ二レン基、 nは 1~30の 整数、 mは 3〜61の整数を示す } ;及びこれらの金属塩、 アンモニゥム塩、 第一アミン塩、 第二アミン塩、 第三アミン塩などが挙げられる。
これらの具体例としては C12H250 (CH2CH20)2S03Na、 C9H19p hO (CH2CH20) 4S〇3Na、 C12H250 (CH2CH20) 4S03Na、 C6Fnp h S03Na、 C9F190phS03Na, R' ' ' ' CH = CH (CH2) ί S 03N a {R, , , ' は、 CjHm、 Cj Fm又は CjHkF を示し、 jは 0〜30の整 数、 mは 1〜 61の整数、 kは 1〜 60の整数、 1は 1〜 60の整数を示す } 、 C12H250 S 03N a、 C12H25p hC6H3(S03H) Op h (S 03H)が例示され る。
ァニオン系界面活性剤としては、 上記に例示したものの中でも、 CnHmC6H 3 (SO3H) Op h (SO3H) {phはフエ二レン基、 nは 1〜30の整数、 mは 3〜61の整数を示す } で表される化合物及び CnHmph (S03H) {p h、 n及び mは前記に定義された通りである } で表される化合物並びにこれら の金属塩、 アンモニゥム塩、 第一、 第二、 第三アミン塩などが好ましく用いら れる。
なお、 上記式中、 CnHmで表される基としては、 nが 1〜30の整数、 かつ、 mが 3〜61の整数である条件を満たす基であり、 具体的には直鎖または分枝 を有する脂肪族アルキル基、 1以上の二重結合を有する脂肪族アルケニル基、 分枝を有してもよい脂環式アルキル基、 分枝を有してもよい脂環式ァルケ二 Jレ 基、 芳香族アルキル基が例示される。
非イオン系界面活性剤としては、 親水基が一般式 一 R' (CH2CH20) qR" 又は— R'O (CH2CH2O) QR" {前記式中、 R"は水素原子又は炭素数 1〜10 のアルキル基、 R'ほ水素原子がフッ素原子で置換されていることのある炭素数 1 〜20の炭化水素基、 Qは 0〜30の整数を示す。 }であるポリエチレングリコー ル型の界面活性剤が好ましい。 具体的には下記のものが挙げられる。
C9F170 (CH2CH20) qCH3 (Q=0〜30)、 C9H19P h (CH2CH2〇) 10H、 C12H25O (CH2CH20) 9H、 C9H19phO (CH2CH20) 10H、 C9 H19phO (CH2CH20) 5H、 C8H17phO (CH2CH20) 3H、 C8H17p h (CH2CH20) 10H {式中、 p hはフエ二レン基を示す }。
カチオン系界面活性剤としては R'"NH2で表される 1·級ァミン、 R'"2NHで表さ れる 2級ァミン、 R"'3Nで表される 3級ァミン、 [R'"4N]M,で表される 4級ァミン が挙げられる (ここで R"'は、 同一又は異なって、 フッ素原子もしくは OH基で 置換されても良い直鎖もしくは分岐を有する炭素数 1〜30のアルキル基、 フッ 素原子もしくは OH基で置換されても良いフエ二ル基又は水素原子を示す。また、 M'は一価の陰イオン (例えば CD を示す。)。 具体的には下記のような化合物が 挙げられる:
CH3(CH2)nNH2, (C¾(C¾)n)2NH, (C¾(CH2)n)3N, (CH3(CH2)n)4NCl, CH3(CH2)nN((CH2)nOH)2, CF3(CF2)nNH2, (CF3(CF2)n)2NH, (CF3(CF2)n)3N, (CF3(CF2)n)4NCl, CF3(CF2)nN((CH2)nOH)2, C6H5NH2, (CH3)2(C )nNH2 {前記 式中、 nは 1〜30の整数である。 }。
界面活性剤の含有量は、 本発明所期の効果が発揮される限り特に限定されるも のではないが、 通常、 0.0001〜: 10質量%程度であり、 0.001〜5質量%程度が好 ましく、 特に 0.01〜1質量%程度が好ましい。 界面活性剤がァニオン系面活性剤の場合は、 0 . 0 0 1〜1 0質量%であるこ とが好ましい。
また、 本発明の組成物がァニオン系界面活性剤を含有する場合、 水の含有量は
6 0質量%以下であることが好ましい。
本発明の組成物がァニオン系界面活性剤を含有する場合は、通常 (1)フッ化物塩 及び重フッ化物塩の少なくとも 1種を 0 . 0 0 1〜1 0質量%、 (2)ヘテロ原子 を有する有機溶媒 2 0〜 9 9 . 9 8 8質量%、 (3)水を 0 . 0 1〜6 0質量%及び (4)ァニオン系界面活性剤を 0 . 0 0 1〜1 0質量%含み;好ましくは (1)フッ化物 塩及び重フッ化物塩の少なくとも 1種を 0 . 0 0 5〜 1 0質量%、 (2)ヘテロ原子 を有する有機溶媒 4 9 . 0〜9 9 . 9 7 5質量%、 (3)水を 0 . 0 1〜4 0質量% 及び (4)ァニオン系界面活性剤を 0 . 0 1〜 1質量%を含む。
より具体的には、 (1)N R 4 F (Rは、 同一又は異なって、 フッ素原子で置換さ れていても良い直鎖若しくは分枝を有する炭素数 1〜1 2、 好ましくは 1〜4の アルキル基、フッ素原子で置換されていても良いフエニル基又は水素原子を示す) で表されるフッ化物塩の濃度が 1質量%以下及び/又は、 N R 4H F 2 (Rは前記 に定義されたとおりである)で表される重フッ化物塩の濃度が 0.001〜5質量%、 (3)水濃度が 6 0質量%以下、(4)ァニオン系界面活性剤の濃度が 0 . 0 0 1〜1 0 質量%、 (2)残部がィソプロピルアルコール、 エタノ一ル及びメタノールからな る群から選ばれる少なくとも 1種であるポリマー剥離用組成物が好ましい。 本発明組成物は、 さらに (5)防食剤を含有していてもよい。 防食剤としては、 例 えば、 カテコール、 ピロガロール、 ォキシン等の芳香族ヒドロキシ化合物、 ベン ゾトリァゾール、 トリルトリァゾール等のトリァゾ一ル化合物及びその誘導体、 フ夕ル酸、 サリチル酸、 ァゼライン酸等の力ルポキシル基含有有機化合物、 ェチ レンジアミン四酢酸等のアミノポリカルボン酸類、 1,2-プロパンアミンテトラメ チレンホスホン酸等のホスホン酸類、 クペロンなどのキレート剤、 ピリジン誘導 体、 2-メルカプトべンゾチアゾ一ルナトリウム等のチアゾ一ル化合物、 テトラメ チルアンモニゥムギ酸塩等の第四級アンモニゥム塩、 ソルビトール、 ァラビ] ^一 ル、 アミロース等の糖類及びその誘導体などを例示することができる。 防食剤の 含有量は、 本発明所期の効果が発揮される限り特に限定されるものではないが、 通常、 0 . 0 1〜3 0質量%程度であり、 0 . 5〜1 0質量%程度が好ましい。 本発明の (1)フッ化物塩及び重フッ化物塩の少なくとも 1種; (2)ヘテロ原子を有 する有機溶媒;及び (3)水を含む組成物の THO X及び B P S Gに対するエツチン ダレ一トは、 2 5でで 1 0 O A/m i n以下、 好ましくは 8 0 A/m i n以下、 より好ましくは 6 O A/m i n以下、特に好ましくは 5 0 A/m i n以下である。 本発明組成物は、 腐食され易い A 1、 A l—C u、 C u、 W、 T i、 T i N等 の基板や金属に対する低腐食性を有し、さらに、レジス卜等に由来するポリマー、 自然酸化膜、 半導体の製造工程で発生する有機物、 粒子などの汚染物を低温且つ 短時間の処理で高い洗浄性を備えている。また、洗浄後の自然酸化膜等の表面が、 洗浄前と実質的に変化せず、 表面の荒れがほとんど或いは全くない。
従って、 本発明の組成物は、 ゲート電極の材料に金属が含まれる場合であって も、 半導体製造工程において、 メタルゲート、 コンタクトホール、 ビアホール及 びキャパシタの少なくとも 1種の洗浄用組成物として用いることができ、 得られ た洗浄物は、 コンタミがなく、 金属等との密着性も良好である。
本発明においては、 洗浄の対象となるのは、 半導体製造工程の途中の段階の基 板であり、 例えばコンタクトホ一ルを形成した後、 メタルなどが埋め込まれる前 の状態を洗浄する。
より具体的には、 本発明の洗浄用組成物は、 半導体製造工程において、 半導体 基板となるシリコン基板上の絶縁層膜及びその上に形成された導電層からなる被 加工層上にレジストのパターンを形成し、 該レジストをマスクにして, ドライエ ツチングにより前記被加工層を所定パターンに形成した後、 得られた半導体基板 を洗浄する際に用いることができる。 このような工程において、 半導体基板上に は、 例えば、 メタルゲートやメタル配線が形成されている。
また、 本発明の洗浄用組成物は、 半導体製造工程において、 半導体基板のシリ コン基板上の所定パターンに導電層を形成し、該導電層上に絶縁層を形成した後、 該絶縁層からなる被加工層上にレジストのパターンを形成して該レジストをマス クにして, ドライエッチングにより前記被加工層を所定パ夕一ンに形成する工程 の後、 得られた半導体基板を洗浄する際に用いることができる。 このような工程 において、 半導体基板上には、 例えば、 コンタクトホールが形成されている。 ま た、 かかる工程は、 シングル又はデュアルダマシンプロセスにおけるドライエツ チング工程に相当する。
本発明の洗浄用組成物による S T I、 メタルゲート、 コンタクトホール、 ビィ ァホ一ル及ぴキャパシタの洗浄は、 例えば、 被処理物 (例えば、 S T I、 メタル ゲート、 コンタクトホール、 ビィァホール及びキャパシ夕の少なくとも 1つを形 成した半導体基板) を該組成物に浸潰し、 例えば 1 5〜4 0 °C程度、 好ましくは 室温程度で 0 . 5〜3 0分間程度処理することにより行うことができる。 洗浄用 組成物は、 被処理物に接触させればよく、 例えば、 被処理物を回転させながらそ の上から液を供給して洗浄してもよいし、 被処理物に洗浄用組成物をスプレーで 吹付け続けて洗浄してもよいし、 被処理物を洗浄用組成物に浸漬して洗浄しても よい。
本発明組成物を用いて洗浄を行った半導体基板は、 慣用されている方法 (例え ば、 Atlas of IC Technologies: An Introduction to VTJSI Processes by W. Maly, 1987 by The Benj amin/Cummings Publishing Company Inc. に記載された方 法) に従って、 様々な種類の半導体装置へと加工することができる。
本発明洗浄用組成物は、 腐食され易い A 1、 A 1— C u、 C u、 W、 T i、 T i N等の基板や金属に対する低腐食性を有し、 さらに、 レジスト等に由来するポ リマーを低温且つ短時間の処理で高い洗浄性を備えている。 従って、 本発明洗浄 用組成物は、 例えば、 半導体製造プロセスにおいて、 エッチング処理後に、 S T I、 メタルゲート、 コンタクトホール、 ビィァホール、 キャパシタなどの側壁に 残存するレジスト用ポリマーを剥離することを目的として、 即ち、 ポリマ一剥離 用組成物として用いることができる。
本発明の洗浄用組成物は、 S T I、 メタルゲート、 コンタクトホ一ル、 ビィァ ホール、キャパシタ又は n +若しくは; p +領域の形成工程、或いはシングル若しく はデュアルダマシンプロセスにおけるドライエツチング後の付着ポリマーの剥離 にも用いることができる。
本発明の組成物を用いたポリマーの剥離は、 被処理物 (例えば S T I、 メタル ゲート、 コンタクトホール、 ピィァホ一ル及びキャパシ夕の少なくとも 1種を形 成した半導体基板) を該組成物に浸漬し、 例えば 1 5〜4 0 °C程度、 好ましくは 室温程度で 0 . 5〜3 0分間程度処理することにより行うことができる。 この場 合も、 組成物を被処理物に接触させればポリマーの剥離を行うことができ、 例え ば、 被処理物を回転させながらその上から液を供給して洗浄してもよいし、 被処 理物に組成物をスプレーで吹付け続けて洗浄してもよい。
本発明の組成物は、 微細パターン (例えば、 0 . 0 0 0 1〜1 0 m程度の間 隔のパ夕一ン) を有する半導体基板に残存するポリマーの剥離に用いるのが好ま しい。
本発明組成物を用いてポリマーの剥離を行つた半導体基板は、 慣用されている 方法 (例 ば、 Atlas oi IC Ifeclmologies: An Introduction to VLSI Processes by W. Maly, 1987 by The Benj amin/Cummings Publishing Company Inc. に記載 された方法) に従って、 様々な種類の半導体装置へと加工することができる。 本発明の洗浄用組成物 (特にポリマー剥離に使用する場合) は、 アルカリ水溶 液を用いて現像できるレジスト (ネガ型及びポジ型レジストを含む) に有利に使 用できる。前記レジストとしては、 ( i )ナフトキノンジアジド化合物とノボラッ ク樹脂を含有するポジ型レジスト、 ( i i )露光により酸を発生する化合物、酸に より分解しアル力リ水溶液に対する溶解性が増大する化合物及びアル力リ可溶性 樹脂を含有するポジ型レジスト、 (i i i )露光により酸を発生する化合物、酸に より分解しアル力リ水溶液に対する溶解性が増大する基を有するアル力リ可溶性 樹脂を含有するポジ型レジスト、 及び (i V ) 光により酸を発生する化合物、 架 橋剤及びアルカリ可溶性樹脂を含有するネガ型レジスト等が挙げられるが、 これ に限定されるものではない。
なお、 エッチング処理後に、 残存レジストに由来するポリマーのアツシングを 行った後、 本発明組成物による洗浄又は剥離を行ってもよいが、 アツシングを行 わずに、 ポリマーの洗浄又は剥離を行ってもよい。
本発明洗浄用組成物は、 半導体製造工程以外にも、 液晶パネル素子の製造工程 においても用いることができる。
半導体製造工程において、 シンダルダマシンやデュアルダマシンプロセスにお ける CM P後に、 表面の研磨材を除去するために洗浄工程が必要となる。 本発明 の洗浄用組成物を用いれば、 C M P後に表面に残存する研磨材をブラシなどによ り除去することができる。 従って、 本発明の洗浄用組成物は、 半導体製造工程に おいて、 C M P後の表面洗浄用組成物としても用いることができる。
本発明の組成物による C M P後の洗浄は、 例えば、 被洗浄物を組成物に 1 5〜 4 0 °C程度、 好ましくは室温程度で 0 . 1〜 3 0分間程度浸漬する方法や、 被洗 浄物上に組成物を 1 5 〜 4 0 °C程度、 好ましくは室温程度で、 1秒〜 1 0分間 程度流しながら行うことができる。 洗浄工程においてブラシは使用してもしなく てもよいが、 ブラシを併用するとスラリーの除去効率が向上するので好ましい。 また、 被処理物を、 本発明組成物に浸漬し、 超音波洗浄 (メガソニック) する事 によっても、 C M P後の洗浄を行うことができる。
上記洗浄は、 半導体基板の片面に導電層と絶縁層が混在した被加工層、 又は導 電層からなる被加工層を、 CM Pを行った後、 例えば、 プラグや配線を形成する ためのシングルダマシンプロセス、 又はデュァルダマシンプロセスにおける C M Pを行った後に行うことができる。
ダマシンプロセスは、 例えば液晶パネル素子の製造工程においても用いられて おり、 本発明組成物は、 該工程に含まれるシングルダマシンプロセス又はデュア ルダマシンプロセスにおける C M Pを行つた後の洗浄にも用いることができる。 本発明には、 半導体基板の片面にシングルダマシンもしくはデュアルダマシン により導電層と絶縁層が混在した被加工層を形成する工程、 及び本発明洗浄用組 成物を用いて被加工層を洗浄する工程を含むことを特徴とする半導体装置の製造 方法も含まれる。
本発明洗浄用組成物を用いて C M P後の洗浄を行つた半導体基板は、 慣用され ている方法 (例えば、 Atlas of IC Technologies: An Introduction to VLSI Processes by W. Maly, 1987 by The Benj amin/Cummings Publishing Company Inc. に記載された方法)に従って、様々な種類の半導体装置へと加工 することができる。
発明を実施するための最良の形態
以下に実施例を示して本発明をより詳細に説明するが、 本発明は下記の実施例 に限定されるものではない。
なお、 以下において、 酸化膜のエッチングレートは R u d o 1 f R e a s e a c h社 A u t o E L— I I Iエリプソメーターを用いてエッチング前後の 膜厚を測定することで行った。 また、 金属のエッチングレートは共和理研社 抵 抗率測定器 K- 7 0 5 R Sを用いてエッチング前後の抵抗率を測定し、 抵抗率 から膜厚を求めた。
下記組成物のエッチングレートは、 各組成物を用いて 2 3 °Cで各膜をエツチン グし、 エッチング処理前の膜厚とエッチング処理後の膜厚の差をエッチング時間 で割って算出したものである。
また、 酸化膜の表面荒れは、 日立製作所社、 走査型電子顕微鏡 (S-5000)の写真 撮影により観察した。
試験例 1 :酸化膜のエッチングレートの測定と表面荒れの確認
フッ化物塩、 重フッ化物塩、 水及びへテロ原子を有する有機溶媒を実施例 1〜 1 5及び比較例 1〜9に示す割合で混合し、 シリコン基板上に THOX (熱酸化 膜)、 B P S G膜、 P E— T E O S膜のいずれかを形成した試験基板に対するエツ チングレート及びエッチング後の表面荒れの状態を測定した。 THO X、 B P S G、 P E— T E O Sの荒れ状況は 「A (荒れなし)」、 「B (少し荒れ有り)」、 「C (荒れ有り)」 の 3段階評価とした。 結果を表 1に示す。
実施例 1〜 1 5及び比較例 1〜 9
以下に示される組成の組成物を定法に従い調整した。
実施例 1 : C2H5NH3 · HF2(0.85wt%)、 7 (0.59wt%), 残り IPA
実施例 2 : C2H5NH3 - HF2(0.85wt%) 水 (0.59wt0/0)、 残りメタノ-ル
実施例 3 : C2H5NH3 - HF2(0.85wt%), 水 (0.59wt%)、 残りエタノ-ル
実施例 4 : C2H5NH3 - HF2(0.85wt%), 水 (0.59wt°/。)、 残りアセトン
実施例 5 : C2H5NH3 - HF2(0.85wt%), 水 (0.59wt%)、 残り酢酸
実施例 6 : C2H5NH3 · F(1.95wt%)、 7_K(1.12wt%)、 残り IPA
実施例 7 : C2H5NH3 - HF2(0.425wt%), 水 (0.30wt%)、 残り IPA
実施例 8 : HOC2H4NH3 · HF2(1.01wt%)、 水 (0.4wt%)、 残り IPA
実施例 9 : (CH3)2NH2 · HF2(0.43wt%)、 ?j<(0.43wt%), 残り IPA
実施例 1 0 : (CH3)3NH2 · HF2(0.99wt%), 水 (1.24wt%)、 残り IPA 実施例 1 1 : C5H11NH3 . HF2(1.25wt%)、 水 (0.40wt%)、 残り IPA 実施例 1 2 : C8H17NH3 · HF2(2.68wt%)、 水 (0.4wt°/。)、 残り IPA 実施例 1 3 : C10H21NH3 · HF2(1.97wt%)、 水 (0.4wt°/0)、 残り IPA 実施例 1 4 : (C2H5)4N · HF2(l.69wt%), 水 (3.14wt%)、 残り IPA 実施例 1 5 : (C6H5)CH2NH3 · HF2(0.74wt%), 7_K(0.2wt%)、 残り IPA 比較例 1 : NH4 · HF2(0.01wt%) 水 (0.3wt%)、 残り IPA
比較例 2 : NH4 · HF2(0.08wt%), 水 (0.3wt%)、 残り IPA
比較例 3 : NH4 - HF2(l.7wt%), 水 (1.5wt%)、 残りメタノ-ル
比較例 4 : NH4 · HF2(2.28wt%)、 水 (1.5wt%)、 残りエタノ-ル
比較例 5 : NH4 · HF2(2.28wt%)、 水 (1.5wt%)、 残りアセトン
比較例 6 : NH4F(0.12wt%)> 残り酢酸
比較例 7 : CH3NH3 - HF2(0.21wt%) 水 (0.26wt%)、 残り IPA 比較例 8 : HONH3 · HF2(0.73wt%)、 水 (1.13wt%)、 残り IPA 比較例 9 : (CH3)4N - HF2(l.l3wt%) 水 (2.99wt%)、 残り IPA
表 1 エッチンゲレ- KA/分) 表面荒れの状態 組成物 THOX BPSG PE-TEOS THOX BPSG PE-TEOS 実施例 1 17 17 37 A A A 実施例 2 9 13 31 A A A 実施例 3 17 12 58 A A A 実施例 4 14 13 44 A A A 実施例 5 61 120 130 A A A 実施例 6 2 4 4 A A A 実施例 7 11 12 39 A A A 実施例 8 10 11 21 A A A 実施例 9 16 15 33 A A A 実施例 1 0 19 20 55 A A A 実施例 1 1 11 12 16 A A A 実施例 1 2 9 7 10 A A A 実施例 1 3 8 7 9 A A A 実施例 1 4 1 4 6 A A A 実施例 1 5 11 10 27 A A A 比較例 1 11 10 14 C C C 比較例 2 43 36 48 C C C 比較例 3 . 31 58 92 B C c 比較例 4 28 34 46 C C c 比較例 5 16 18 22 C C c 比較例 6 9 12 30 A C c 比較例 7 14 13 25 B B c 比較例 8 27 41 50 C B c 比較例 9 2 7 11 A ' B B 試験例 2 :メタルエッチングレートの測定
フッ化物塩、 重フッ化物塩、 水及びへテロ原子を有する有機溶媒を実施例 3,
6 , 7; 8 , 1 2及び比較例 1、 1 0〜1 2で表される割合で混合しエッチング 液を調製した。
該エッチング液を用いて、 八1ー \1ゥェハ、 C uウェハ、 Wウェハ、 WNゥ ェハ、 T iウェハ、 T i Nウェハを各々形成した試験基板を 2 3 °Cでエッチング し、 それぞれの膜に対するエッチングレートを求めた。 結果を表 2に示す。 比較例 1 0〜 1 2
以下に示される組成の組成物を定法に従い調整した。
比較例 1 0 : 0.29%アンモニア水
比較例 1 1 : 0.25wt%7';;酸
比較例 1 2 : HF(0.125wt%)> NH4F(40wt%), 残り水 表 2
Figure imgf000018_0001
試験例 3
実施例 7の組成物 (C2H5NH3 · HF2(0.425wt%)、 水 (0.3wt%)、 残り IPA) に おいて、 C2H5NH3 · HF2の濃度を 0.425wt%で固定し、 水及び I P Aの比率を 以下の表— 3 (水の量のみを示し、 残部は I P A) に示されるように変えて、 試 験 2と同一条件下で Al-Cu、 Cu、 W、 WN、 Ti、 TNをエッチングし、 エツチン ダレ一卜を測定した。 結果を表 3に示す。 表 3
Figure imgf000019_0001
試験例 4 :ポリマー洗浄試験
表面に層間絶縁膜、 その下層に C u配線層を有するシリコンウェハ上に、 常法 に従いナフトキノン Zノポラック樹脂系のポジ型フォトレジストからなるレジス トパターンを形成した。 得られたレジストパ夕一ンを有するシリコンウェハを、 常法に従い酸ィ匕膜ドライエッチング処理し、 ビアホールを形成した。 次いで、 酸 素ガスでアツシング処理を行い、 残存するレジストを除去した。
得られたビアホールを有するシリコンウェハを、 実施例 3, 6, 7 , 8 , 1 2 及び比較例 1、 1 0 - 1 2のいずれかの組成物に 2 3 °Cで 1 0分間浸漬しポリマ —デポ物の剥離処理を行った。 処理したウェハを純水でリンス処理し、 シリコン ウェハのアツシング残渣 (ポリマ一性デポ物) の剥離状況及び配線メタルの腐食 の有無を S EM (走査型電子顕微鏡) の写真観察により評価した。 前記ポリマー 性デポ物の剥離状況は、 「良好」、 「不完全」の 2段階評価、配線メタル腐食の有無 は腐食の 「有り」、 「無し」 の 2段階評価、 酸化膜の荒れ状況は
「A (荒れなし)」、 「B (少し荒れ有り)」、 「(:(荒れ有り)」の 3段階評価とした。 結果を表 4に示す。 表 4
Figure imgf000020_0001
試験例 1 4の結果より、 本発明の組成物はポリマー (レジスト) を洗浄する 性能を有し、 しかも配線メタルを腐食しないという性質を有していることがわか つた。 従って、 本発明の組成物は、 S T I、 メタルゲート、 コンタクトホール、 ビアホール、 キャパシタなどの洗浄用組成物として好適であることがわかった。 また、 本発明の洗浄用組成物は、 S T I、 メタルゲート、 コンタクトホール、 ビ ァホ一ル、 キャパシ夕などの側壁に残存するポリマ一剥離のためにも好適に用い ることができることがわかった。

Claims

請求の範囲
1 . (1)ヒドロキシルァミン類、 脂肪族ァミン類、 芳香族ァミン類、 脂肪族な いし芳香族第 4級アンモニゥム塩からなる群から選ばれる少なくとも 1種とフッ 化水素酸から形成されたフッ化物塩及び重フッ化物塩の少なくとも 1種;(2)へテ 口原子を有する有機溶媒の少なくとも 1種;及び (3)水を含むことを特徴とする洗 浄用組成物。
2 . (1)前記フッ化物塩が、 一般式
1) (R 2)3 · F
(R 1はフッ素及び/又はヒドロキシル基で置換されてもよい直鎖もしくは分岐 を有する 2アルキル基、フッ素原子で置換されてもよいフエニル基又はヒド 口キシル基を示す。 R 2は同一又は異なって、 フッ素及び Z又はヒドロキシル基 で置換されてもよい直鎖もしくは分岐を有する C 2アルキル基、フッ素原子で 置換されてもよいフエニル基又は水素原子を示す。)で表され、前記重フッ化物塩 が、 一般式
N(R 1)(R 2)3 · H F 2
(R 1及び R 2は前記に定義されるとおりである。) で表される請求項 1に記載の 洗浄用組成物。
3 . ヒドロキシルアミン類が、 Ν,Ν-ジメチルヒドロキシルァミン、 Ν-ェチル ヒドロキシルァミン、 Ν,Ν-ジェチルヒドロキシルァミン、 Ν-プロピルヒドロキシ ルアミン、 Ν-フエニルヒドロキシルアミンなどの直鎖又は分枝を有する炭素数 1 〜 4のアルキル基又はフエニル基で 1又は 2置換されたヒドロキシルァミンから なる群から選ばれれる少なくとも 1種である請求項 1に記載の洗浄剤組成物。
4. 脂肪族ァミン類が、 ェチルァミン、 プロピルァミン、 イソプロピルアミ ン、 プチルァミン、 へキシルァミン、 ォクチルァミン、 デシリレアミン、 ドデシル ァミン、 ジメチルァミン、 ジェチルァミン、 ジプロピルァミン、 ジイソプロピル ァミン、 ジブチルァミン、 トリメチルァミン、 卜リエチルァミン、 トリプロピル ァミン、 トリイソプロピルァミン、 トリプチルァミンなどの直鎖又は分枝を有す る炭素数 1〜1 2のアルキル基で 1、 2または 3置換された脂肪族ァミン;モノ フルォロメチルァミン、 ジフルォロメチルァミン、 トリフルォロメチルァミン、 パ一フルォロェチルァミン、 パーフルォロプロピルアミン、 パ一フルォロイソプ 口ピルァミン、 パーフルォロブチルァミン、 パーフルォ口へキシルアミン、 パー フルォロォクチルァミン、 ジ (パーフルォロメチル) ァミン、 ジ (パ一フルォロ ェチル) ァミン、 ジ (パ一フルォロプロピル) ァミン、 ジ (パ一フルォロイソプ 口ピル) ァミン、 ジ (パ一フルォロブチル) ァミン、 トリ (パーフルォロメチル) ァミン、 トリ (パーフルォロェチル) ァミン、 トリ (パーフルォロプロピル) ァ ミン、 トリ (パーフルォロイソプロピル) ァミン、 トリ (パーフルォロブチル) アミンなどの直鎖又は分枝を有する少なくとも 1つのフッ素原子含有 アル キル基で 1、 2または 3置換された脂肪族ァミン;モノエタノ一ルァミン、 ェチ レンジァミン、 2 - ( 2—アミノエチルァミノ) エタノール、 ジエタノールアミ ン、 2一ェチルアミノエ夕ノール、 ジメチルァミノエタノール、 ェチルジェタノ ールァミン、 シクロへキシルァミン、 ジシクロへキシルァミンからなる群から選 ばれれる少なくとも 1種である請求項 1に記載の洗浄剤組成物。
5 . 前記脂肪族ないし芳香族第 4級アンモニゥム塩が、 テトラエチルアンモ 二ゥム、 テトラプロピルアンモニゥム、 テトライソプロピルアンモニゥム、 テト ラブチルアンモニゥム、 テトラフエ二ルアンモニゥムなどの脂肪族ないし芳香族 第 4級アンモニゥムの塩酸塩、 臭化水素酸塩、 硫酸塩、 硝酸塩などの鉱酸塩から なる群から選ばれる少なくとも 1種である請求項 1に記載の洗浄剤組成物。
6 . ヘテロ原子を有する有機溶媒が、 N,N—ジメチルホルムアミド、 Ν,Ν— ジメチルァセトアミド、 ジメチルスルホキシド、 Ν—メチルー 2—ピロリドン、
1 , 3—ジメチル _ 2—イミダゾリジノン;メタノール、 エタノール、 イソプロ ピルアルコール(I Ρ Α)、 1一プロパノール、 1ーブタノ一ル、 2—ブ夕ノール、 t—ブタノ一ル、 2—メチルー 1一プロパノール、 1—ペン夕ノール、 1一へキ サノール、 1一ヘプタノ一レ、 4一ヘプ夕ノール、 1ーォクタノール、 1—ノニ ルアルコール、 1ーデカノール、 1一ドデカノ一ルなどのアルコール類;ェチレ ングリコール、 1, 2—プロパンジオール、 プロピレングリコール、 2, 3—ブ タンジオール、 ダリセリンなどのポリオール類;アセトン、 ァセチルアセトン、 メチルェチルケトン等のケトン類;ァセトニトリル、 プロピオ二トリル、 プチ口 二トリル、 ィソブチロニトリル、 ベンゾニトリル等の二トリル類;ホルムアルデ ヒド、 ァセトアルデヒド、 プロピオンアルデヒドなどのアルデヒド類;エチレン グリコールモノメチルエーテル、 エチレングリコールモノェチルエーテル、 ジェ チレングリコールモノブチルエーテルなどのアルキレングリコールモノアルキ Jレ エーテル;テトラヒドロフラン、 ジォキサンなどの環状エーテル類; トリフルォ 口エタノール、ペンタフルォロプロパノール、 2,2,3,3-テトラフルォロプロパノ一 ルなどのフッ素アルコール;スルホラン及び二トロメタンからなる群から選ばれ る少なくとも 1種である請求項 1に記載の洗浄用組成物。
7 . ヘテロ原子を有する有機溶媒が、 アルコール類及びケトン類からなる群 から選ばれる少なくとも 1種の含酸素有機溶媒であることを特徴とする項 6に記 載の洗浄用組成物。
8 . 含酸素有機溶媒がイソプロピルアルコール、 エタノール及びメタノール からなる群から選ばれる少なくとも 1種である項 7に記載の洗浄用組成物。
9 . 含酸素有機溶媒がイソプロピルアルコール、 エタノール及びメタノール からなる群から選ばれる少なくとも 1種であり、 水の濃度が 1 0質量%以下であ る項 7に記載の洗浄用組成物。
1 0 . (1)一般式
N(R (R 2)3 · F
( R 1はフッ素及び Z又はヒドロキシル基で置換されてもよい直鎖もしくは分岐 を有する 2アルキル基、フッ素原子で置換されてもよいフエニル基又はヒド 口キシル基を示す。 R 2は同一又は異なって、 フッ素及び Z又はヒドロキシル基 で置換されてもよい直鎖もしくは分岐を有する C 2アルキル基、フッ素原子で 置換されてもよいフエニル基又は水素原子を示す。)で表されるフッ化物塩の濃度 が 1 0質量%以下及び Z又は一般式
· H F 2
( R 1及び R 2は前記に定義されるとおりである。) で表される重フッ化物塩が 0.001〜1 0質量%、 (3)水濃度が 1 0質量%以下、 (2)残部がイソプロピルアルコ ール、 エタノール及びメタノールからなる群から選ばれる少なくとも 1種である 請求項 2に記載の洗浄用組成物。
1 1 . S T I、 メタルゲート、 コンタクトホール、 ビィァホール及びキャパ シ夕の少なくとも 1つを形成した被処理物を請求項 1に記載の組成物により洗、净 する工程を含む、 該被処理物に付着した付着物を剥離する方法。
1 2 . 前記付着物がエッチング処理後の表面に存在するレジスト或いは S T I、 メタルゲート、 コンタクトホール、 ビィァホール及びキャパシ夕の少なくと も 1つの側壁に残存するレジスト由来のポリマーである請求項 1 1に記載の方法。
1 3 . 前記付着物がシングルダマシンまたはデュアルダマシンプロセスにお ける CM P後に残存する研磨剤である請求項 1 1に記載の方法。
1 4. 前記付着物がイオンインプランテーション後のレジスト残渣である請 求項 1 1に記載の方法。
1 5 . 前記付着物がエッチング処理後に残存するレジストであり、 被処理物 の洗浄工程の前にレジストをアツシングする工程を含む請求項 1 1に記載の方法。
1 6 . 洗浄が、'被処理物を請求項 1に記載の組成物に浸漬すること、 被処理 物に請求項 1に記載の組成物を連続的或いは間欠的に供給すること、 または、 被 処理物に請求項 1に記載の組成物を吹き付けることにより行われる請求項 1 1に 記載の方法。
PCT/JP2002/010976 2001-10-24 2002-10-23 Composition de lavage WO2003035815A1 (fr)

Priority Applications (3)

Application Number Priority Date Filing Date Title
KR1020047006091A KR100671249B1 (ko) 2001-10-24 2002-10-23 세정용 조성물
EP02770236A EP1447440A4 (en) 2001-10-24 2002-10-23 CLEANING SUPPLIES
US10/493,432 US20050003977A1 (en) 2001-10-24 2002-10-23 Composition for cleaning

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2001326948A JP2003129089A (ja) 2001-10-24 2001-10-24 洗浄用組成物
JP2001-326948 2001-10-24

Publications (1)

Publication Number Publication Date
WO2003035815A1 true WO2003035815A1 (fr) 2003-05-01

Family

ID=19143243

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2002/010976 WO2003035815A1 (fr) 2001-10-24 2002-10-23 Composition de lavage

Country Status (7)

Country Link
US (1) US20050003977A1 (ja)
EP (1) EP1447440A4 (ja)
JP (1) JP2003129089A (ja)
KR (1) KR100671249B1 (ja)
CN (1) CN1575331A (ja)
TW (1) TWI264068B (ja)
WO (1) WO2003035815A1 (ja)

Families Citing this family (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2004019134A1 (ja) * 2002-08-22 2004-03-04 Daikin Industries, Ltd. 剥離液
JP2004277576A (ja) * 2003-03-17 2004-10-07 Daikin Ind Ltd エッチング用又は洗浄用の溶液の製造法
DE102004037089A1 (de) 2004-07-30 2006-03-16 Advanced Micro Devices, Inc., Sunnyvale Technik zur Herstellung einer Passivierungsschicht vor dem Abscheiden einer Barrierenschicht in einer Kupfermetallisierungsschicht
JP4628209B2 (ja) * 2004-11-18 2011-02-09 花王株式会社 剥離剤組成物
JP4678673B2 (ja) * 2005-05-12 2011-04-27 東京応化工業株式会社 ホトレジスト用剥離液
KR100927080B1 (ko) * 2005-05-25 2009-11-13 다이킨 고교 가부시키가이샤 Bpsg막과 sod막을 포함하는 기판의 에칭액
WO2006129538A1 (ja) * 2005-06-01 2006-12-07 Nissan Chemical Industries, Ltd. ホスホン酸を含む半導体ウェハ洗浄用組成物及び洗浄方法
EP1895577A4 (en) * 2005-06-24 2009-10-28 Mitsubishi Gas Chemical Co CHEMICAL ATTACK COMPOSITION FOR METALLIC MATERIAL AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE USING THE SAME
US7879782B2 (en) 2005-10-13 2011-02-01 Air Products And Chemicals, Inc. Aqueous cleaning composition and method for using same
US20070151949A1 (en) * 2006-01-04 2007-07-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor processes and apparatuses thereof
JP5124447B2 (ja) 2006-04-05 2013-01-23 旭硝子株式会社 デバイス基板の洗浄方法
US20080125342A1 (en) * 2006-11-07 2008-05-29 Advanced Technology Materials, Inc. Formulations for cleaning memory device structures
KR100891255B1 (ko) * 2007-01-05 2009-04-01 주식회사 하이닉스반도체 커패시터의 리닝 방지용 식각액 조성물 및 이를 이용한커패시터 제조 방법
CN102839062A (zh) * 2007-08-22 2012-12-26 大金工业株式会社 残渣除去液的使用
SG188848A1 (en) * 2008-03-07 2013-04-30 Advanced Tech Materials Non-selective oxide etch wet clean composition and method of use
KR100873370B1 (ko) * 2008-04-02 2008-12-10 주식회사 이생테크 알루미늄 거푸집용 세척제
MX2011008789A (es) 2009-02-25 2011-09-29 Avantor Performance Mat Inc Composiciones removedoras para limpiar polimero fotosensible implantado ionicamente de obleas de dispositivos semiconductores.
US20100224215A1 (en) * 2009-03-06 2010-09-09 Imec Method for Reducing the Damage Induced by a Physical Force Assisted Cleaning
KR101891501B1 (ko) * 2010-02-19 2018-08-24 주식회사 동진쎄미켐 증착 재료 세정액 조성물 및 이를 이용한 세정 방법
US8128755B2 (en) 2010-03-03 2012-03-06 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Cleaning solvent and cleaning method for metallic compound
US9085751B2 (en) * 2010-07-09 2015-07-21 Kaken Tech Co., Ltd. Liquid concentrate for cleaning composition, cleaning composition and cleaning method
US20120285483A1 (en) * 2011-05-12 2012-11-15 Li-Chung Liu Method of cleaning a wafer
SG11201400840UA (en) 2011-10-05 2014-04-28 Avantor Performance Mat Inc Microelectronic substrate cleaning compositions having copper/azole polymer inhibition
JP6066552B2 (ja) * 2011-12-06 2017-01-25 関東化學株式会社 電子デバイス用洗浄液組成物
CN102808190B (zh) * 2012-08-31 2014-06-25 昆山艾森半导体材料有限公司 环保型弱碱性低温去毛刺软化液及其制备方法和使用方法
CN102968002A (zh) * 2012-11-13 2013-03-13 大连三达维芯半导体材料有限公司 芯片用干膜去膜剂及制备方法
CN102944986A (zh) * 2012-11-13 2013-02-27 大连三达维芯半导体材料有限公司 芯片用聚酰亚胺剥离液及制备方法
KR102107476B1 (ko) * 2013-04-10 2020-05-07 해성디에스 주식회사 구리 함유 금속막 식각액 조성물 및 이를 이용한 식각 방법
KR102427981B1 (ko) * 2013-10-23 2022-08-02 주식회사 동진쎄미켐 금속막 연마 슬러리 조성물 및 이를 이용한 금속막 연마 시 발생하는 스크래치의 감소 방법
JP2015108041A (ja) * 2013-12-03 2015-06-11 ダイキン工業株式会社 洗浄用組成物
TWI819694B (zh) * 2015-07-14 2023-10-21 美商富士軟片電子材料美國股份有限公司 清潔組成物及其使用方法
US9856440B2 (en) 2016-03-02 2018-01-02 The Procter & Gamble Company Compositions containing anionic surfactant and a solvent comprising butanediol
US9896648B2 (en) 2016-03-02 2018-02-20 The Procter & Gamble Company Ethoxylated diols and compositions containing ethoxylated diols
US9790454B2 (en) 2016-03-02 2017-10-17 The Procter & Gamble Company Compositions containing alkyl sulfates and/or alkoxylated alkyl sulfates and a solvent comprising a diol
US9840684B2 (en) 2016-03-02 2017-12-12 The Procter & Gamble Company Compositions containing alkyl sulfates and/or alkoxylated alkyl sulfates and a solvent comprising a diol
CN105969539B (zh) * 2016-05-08 2018-09-18 浙江艾卡医学科技有限公司 一种多功能浓缩清洁剂
WO2019156363A1 (ko) * 2018-02-06 2019-08-15 동우 화인켐 주식회사 마스크 세정액 조성물
WO2019156364A1 (ko) * 2018-02-06 2019-08-15 동우 화인켐 주식회사 마스크 세정액 조성물
CN115362246A (zh) * 2020-03-31 2022-11-18 日产化学株式会社 清洗剂组合物以及经加工的半导体基板的制造方法
KR20210142228A (ko) 2020-05-18 2021-11-25 주식회사 태경코엠 계면활성제를 포함하지 않는 세정제 조성물
KR102368898B1 (ko) 2020-05-18 2022-03-02 주식회사 태경코엠 알칸디올 또는 에틸헥실글리세린을 포함하는 세정제 조성물
CN112558434B (zh) * 2020-12-22 2023-03-07 江苏奥首材料科技有限公司 一种光刻胶清洗剂组合物
CN112698554A (zh) * 2021-02-01 2021-04-23 福建省佑达环保材料有限公司 一种半导体制程用光阻清洗剂
KR20220129246A (ko) * 2021-03-16 2022-09-23 동우 화인켐 주식회사 고분자 처리용 공정액 조성물

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07201794A (ja) * 1994-01-07 1995-08-04 Mitsubishi Gas Chem Co Inc 半導体装置洗浄剤および半導体装置の製造方法
EP0773480A1 (en) * 1995-11-13 1997-05-14 Tokyo Ohka Kogyo Co., Ltd. Remover solution composition for resist and method for removing resist using the the same
EP0901160A2 (en) * 1997-08-18 1999-03-10 Mitsubishi Gas Chemical Company, Inc. Cleaning liquid for semiconductor devices
JP2000047401A (ja) * 1999-06-17 2000-02-18 Tokyo Ohka Kogyo Co Ltd レジスト用剥離液組成物
WO2000031786A1 (fr) * 1998-11-24 2000-06-02 Daikin Industries, Ltd. Reactif d'attaque, article produit par attaque et procede d'attaque d'un article
EP1091254A2 (en) * 1999-09-28 2001-04-11 Mitsubishi Gas Chemical Company, Inc. Resist stripping composition
US6265309B1 (en) * 1998-05-14 2001-07-24 Mitsubishi Gas Chemicals Co., Inc. Cleaning agent for use in producing semiconductor devices and process for producing semiconductor devices using the same
WO2001081525A1 (fr) * 2000-04-26 2001-11-01 Daikin Industries, Ltd. Composition de detergent

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3755776B2 (ja) * 1996-07-11 2006-03-15 東京応化工業株式会社 リソグラフィー用リンス液組成物及びそれを用いた基板の処理方法
US5855811A (en) * 1996-10-03 1999-01-05 Micron Technology, Inc. Cleaning composition containing tetraalkylammonium salt and use thereof in semiconductor fabrication
US6703319B1 (en) * 1999-06-17 2004-03-09 Micron Technology, Inc. Compositions and methods for removing etch residue
US6652665B1 (en) * 2002-05-31 2003-11-25 International Business Machines Corporation Method of removing silicone polymer deposits from electronic components

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07201794A (ja) * 1994-01-07 1995-08-04 Mitsubishi Gas Chem Co Inc 半導体装置洗浄剤および半導体装置の製造方法
EP0773480A1 (en) * 1995-11-13 1997-05-14 Tokyo Ohka Kogyo Co., Ltd. Remover solution composition for resist and method for removing resist using the the same
EP0901160A2 (en) * 1997-08-18 1999-03-10 Mitsubishi Gas Chemical Company, Inc. Cleaning liquid for semiconductor devices
US6265309B1 (en) * 1998-05-14 2001-07-24 Mitsubishi Gas Chemicals Co., Inc. Cleaning agent for use in producing semiconductor devices and process for producing semiconductor devices using the same
WO2000031786A1 (fr) * 1998-11-24 2000-06-02 Daikin Industries, Ltd. Reactif d'attaque, article produit par attaque et procede d'attaque d'un article
JP2000047401A (ja) * 1999-06-17 2000-02-18 Tokyo Ohka Kogyo Co Ltd レジスト用剥離液組成物
EP1091254A2 (en) * 1999-09-28 2001-04-11 Mitsubishi Gas Chemical Company, Inc. Resist stripping composition
WO2001081525A1 (fr) * 2000-04-26 2001-11-01 Daikin Industries, Ltd. Composition de detergent

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
See also references of EP1447440A4 *

Also Published As

Publication number Publication date
EP1447440A4 (en) 2005-12-21
KR20040047959A (ko) 2004-06-05
US20050003977A1 (en) 2005-01-06
JP2003129089A (ja) 2003-05-08
TWI264068B (en) 2006-10-11
EP1447440A1 (en) 2004-08-18
KR100671249B1 (ko) 2007-01-19
CN1575331A (zh) 2005-02-02

Similar Documents

Publication Publication Date Title
WO2003035815A1 (fr) Composition de lavage
US6831048B2 (en) Detergent composition
JP4147320B2 (ja) プラズマエッチング残留物を除去するための非腐食性洗浄組成物
KR100736061B1 (ko) 플라즈마 에칭 잔류물 제거용 비부식성 세정 조성물
US6191086B1 (en) Cleaning composition and method for removing residues
JP3441715B2 (ja) 水性リンス組成物及びそれを用いた方法
JP6707451B2 (ja) 表面の残留物を除去するための洗浄配合物
JP2005528660A (ja) 半導体プロセス残留物除去組成物および方法
JP4634718B2 (ja) エッチング残留物を除去するための非腐食性洗浄組成物
WO2010037263A1 (zh) 一种光刻胶清洗剂
JP2004325918A (ja) 剥離剤組成物
JP4028904B2 (ja) ヒドロキシルアミン−没食子化合物の組成物及びその使用方法
JP2001330970A (ja) 微細パターン用ポリマー剥離液組成物
JP2015108041A (ja) 洗浄用組成物
JP2001332526A (ja) メタルゲート、コンタクトホール及びキャパシタ洗浄液組成物
JP2004212858A (ja) 基板洗浄液
TW202223075A (zh) 清潔組合物、使用其的清潔方法及製造半導體裝置的方法
JP2001332527A (ja) Cmp後洗浄液組成物

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): CN KR US

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): AT BE BG CH CY CZ DE DK EE ES FI FR GB GR IE IT LU MC NL PT SE SK TR

121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 10493432

Country of ref document: US

Ref document number: 20028211774

Country of ref document: CN

Ref document number: 1020047006091

Country of ref document: KR

WWE Wipo information: entry into national phase

Ref document number: 2002770236

Country of ref document: EP

WWP Wipo information: published in national office

Ref document number: 2002770236

Country of ref document: EP

WWW Wipo information: withdrawn in national office

Ref document number: 2002770236

Country of ref document: EP